[管理]四选一数据选择器的设计

合集下载

eda实验报告(全加器,四选一数据选择器,交通灯)

eda实验报告(全加器,四选一数据选择器,交通灯)

浙师大数理与信息工程学院学生实验报告实验一简单组合逻辑电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。

用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。

2、实验内容用开关K7,K8作为输入设置,从输出指示LED观察OUT21,22,23,24等的变化。

3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shier isPort( k7,k8:in std_logic;out20,out21,out22,out23,out24:out std_logic); end shier;architecture sr of shier isbeginout20<=k7;out21<=not k7;out22<=k7 and k8;out23<=k7 or k8;out24<=k7 xor k8;end sr;波形仿真实验二三八译码器电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。

用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。

2、实验内容用开关K1,K2,K3,K4作为输入设置,组成一个高输出有效的三八译码器,从输出指示LED观察OUT1到OUT8随K1,K1,K3置值的改变而引起相应的变化。

3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shisan isport( a,b,c:in std_logic;y:out std_logic_vector(7 downto 0));end shisan;architecture one of shisan isbeginprocess(a,b,c)variable d:std_logic_vector(2 downto 0);begind:=(c&b&a);if d<="000" theny<="00000001";elsif d<="001" theny<="00000010";elsif d<="010" theny<="00000100";elsif d<= "011"theny<="00001000";elsif d<= "100"theny<="00010000";elsif d<="101"theny<="00100000";elsif d<="110" theny<="01000000";elsif d<="111" theny<="10000000";else null;end if;end process;end one;波形仿真实验四四选一数据选择器电路设计1、实验目的熟悉和了解VHDL语言涉及数字电路的流程,掌握完整的EDA设计方法。

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计实验目的:设计并实现一个四选一数据选择器,可以将四个输入信号中的一个作为输出信号进行传递。

实验原理:四选一数据选择器是多路选择器的一种,主要由输入端、控制端和输出端组成。

输入端有四个信号输入线,控制端有两个控制输入线,输出端有一个信号输出线。

通过控制输入线的不同组合,可以选择其中一个输入信号传递到输出端。

实验材料与器件:1.1片74LS153(二选四数据选择器)芯片2.4个开关按钮3.连接线4.电源线5.示波器(可选)实验步骤:1.连接电路:a. 将芯片74LS153的Vcc引脚连接到正极电源线,将GND引脚连接到负极电源线。

b.将芯片的1A、1B、2A、2B四个输入引脚分别连接到四个开关按钮。

c.将芯片的S0、S1两个控制输入引脚分别连接到两个控制开关按钮。

d.将芯片的Y输出引脚连接到输出信号线。

e.将电源线接入电源插座,通电。

2.设置控制输入:a.初始状态下,所有控制输入引脚都为低电平状态。

b.可以通过控制两个开关按钮的开关状态来改变控制输入引脚的电平。

3.输出结果观测:a.打开示波器,将其输入端连接到芯片的输出引脚,设置为观测模式。

b.通过改变控制输入的电平状态,可以选择不同的输入信号进行输出。

c.观察示波器上的输出信号波形,确保输出信号与选择的输入信号一致。

4.实验记录:a.记录不同控制输入状态下的输入信号及输出信号。

b.通过对比观测结果,验证芯片的正常工作。

实验注意事项:1.连接电路时,注意电源接线正确,避免短路或电路损坏。

2.实验过程中操作电路和仪器时,保持手部干燥,并确保安全。

3.实验完成后,关闭电源,将电路和仪器恢复原状,并整理实验记录。

4.若没有示波器,可以通过观察输出信号线连接的LED灯的亮灭来判断输出信号的状态。

实验结果与讨论:在实验中,我们设计并实现了一个四选一数据选择器,并通过改变控制输入的电平状态来选择不同的输入信号进行输出。

通过观察观测结果,我们可以发现当控制输入引脚的电平状态为低-低时,选择1A作为输出;当为低-高时,选择1B作为输出;当为高-低时,选择2A作为输出;当为高-高时,选择2B作为输出。

四选一数据选择器

四选一数据选择器

根据给定的输入地址代码,数据选择器从一组输入信号中选择一个指定的,并将其发送到输出端的组合逻辑电路。

有时也称为多路复用器或多路复用器。

该图显示了四分之四数据选择器的示意图。

在图中,D0,D1,D2和D3是四个数据输入端子,Y是输出端子,而A1和A0是地址输入端子。

从表中可以看出,可以使用指定A1A0的代码选择四个输入数据D0,D1,D2和D3中的任何一个并将其发送到输出。

因此,数据选择器可以实现数据的多通道分时传输。

另外,数据选择器被广泛用于生成任何种类的组合逻辑功能。

在图中所示的电路中,如果将y视为A0,A1和D0,D1,D2和D3的函数,则可以写为。

如果将A1和A0视为两个输入逻辑变量,并且将D0,D1,D2和D3视为第三输入逻辑变量A2的不同状态(即A2,/ A2、1或0),则任何所需的组合逻辑函数可以生成三个变量A2,A1和A0。

可以看出,具有N位地址输入的数据选择器可以生成输入变量数量不大于n +1的任何组合逻辑函数。

实验步骤
1.打开莱迪思钻石并设置项目。

2.创建一个新的Verilog HDL设计文件,然后输入设计代码。

3.合成并分配引脚,将输入信号a和b分配给DIP开关,并将输出信号led0〜led3分配给板上的LED。

sel [0] / N14,sel [1] / M14,a / M7,b / M8,,c / M9,d / M10,led / N13
4.构建并输出编程文件,并将其刻录到FPGA的Flash中。

5.按下相应的键/拨动DIP开关并观察输出结果。

EDA技术-VHDL-四选一数据选择器程序设计与仿真实验

EDA技术-VHDL-四选一数据选择器程序设计与仿真实验

EDA技术-VHDL-四选⼀数据选择器程序设计与仿真实验四选⼀数据选择器程序设计与仿真实验1 实验⽬的(1) 熟悉在QuartusⅡ软件平台上建⽴⼯作库⽂件和编辑设计⽂件的⽅法。

(2) 熟悉创建⼯程⽂件⽅法。

(3) 熟悉编译前设置和启动全程编译设置的⽅法。

(4) 熟悉波形编辑器的使⽤⽅法(5) 熟悉在QuartusⅡ软件平台上对设计进⾏仿真的操作全过程(6) 会⽤VHDL语⾔设计⼀个四选⼀数据选择器。

2 实验原理数据选择器⼜叫“多路开关”。

数据选择器在地址码(或叫选择控制)电位的控制下,从⼏个数据输⼊中选择⼀个并将其送到⼀个公共的输出端。

数据选择器的功能类似⼀个多掷开关,如图3.2.1所⽰,图中有四路数据a、b、c、d输⼊,通过选择控制信号 s1、s2(地址码)从四路数据中选中某⼀路数据送⾄输出端y。

逻辑功能如表3.2.1所⽰。

图3.2.1 四选1数据选择器⽰意图表3.2.1 四选⼀数据选择器逻辑功能表从表3.2.1中可知使能端en=1时,不论a~c输⼊状态如何,均⽆输出(y=1,因为所⽤器件的显⽰电路是共阳极),多路开关被禁⽌。

使能端en=0时,多路开关正常⼯作,根据地址码s2、s1的状态选择a~c输⼊信号中某⼀个通道的数据输送到输出端y。

3 实验内容(1) 建⽴⼀个四选⼀数据选择器的⽂件夹。

(2) 在定义好的VHDL模型中完成四选⼀数据选择器的描述,并创建⼯程。

(3) 设计完成后进⾏全程编译,检查源程序编写是否正确。

(4) 建⽴波形编辑⽂件并对输⼊波形进⾏编辑。

(5) 启动仿真器进⾏仿真,并分析仿真结果。

4 实验预习与思考(1) 进⼀步学习在QuartusⅡ软件平台上建⽴⼯作库⽂件和编辑设计⽂件的⽅法。

(2) 进⼀步学习建⽴波形编辑器⽂件编辑输⼊波形的⽅法。

(3) VHDL语⾔的结构体在整个程序中起什么作⽤。

5 VHDL仿真实验(1)新建⼀个⽂件夹。

本例中⽂件夹名为mux。

(2)输⼊源程序,打开计算机桌⾯上图标。

4选1数据选择器

4选1数据选择器

4选1数据选择器
4选1数据选择器的功能是从4个相互独立的数据输入端D0-D3中选出一个来送至输出端,因为2位二进制代码就可表示4个地址,所以它具有2个地址输入端A0和A1。

还有一个附加控制端S ,具有使能作用,当S=1是才正常执行数据选择功能,否则输出总为0。

输出端Y 的逻辑表达式为: Y=(013012011010A A D A A D A A D A A D +++)·S
使用LABVIEW 实现4选1数据选择器,具体步骤如下:
1、新建“4选1数据选择器.vi ”。

2、在前面板上新建两个以布尔型控件为元素的簇,分别用来表示地址输入变量A0-A1和数据输入变量D0-D3,另外再添加一个布尔型输入控件作为“控制端S ”,以及一个布尔型显示控件作为“输出Y ”。

3、在框图中添加复合运算函数,再按照前面推导出的逻辑表达式进行连线,最终输出结果送给变量Y ,最终程序前面板和框图如图1所示。

在该图中所示的前面板输入状态下,程序将从指定地址A1A0=11处取出数据 端D3送给输入端Y 。

同理,也可以使用地址A1A0=00取出D0,地址A1A0=01取出D1,地址A1A0=10取出D2。

图1“4选1数据选择器.vi”的前面板和框图。

verilog4选一数据选择器原理(一)

verilog4选一数据选择器原理(一)

verilog4选一数据选择器原理(一)Verilog中的4选1数据选择器简介在数字电路中,数据选择器是一种常见的电路组件,用于从多个数据输入中选择一个输出。

Verilog是一种硬件描述语言,广泛用于数字电路的设计和仿真。

本文将介绍Verilog中的4选1数据选择器的原理和实现方法。

原理4选1数据选择器有4个输入和1个输出。

根据选择信号,从4个输入中选择一个输入作为输出。

选择信号是2位的二进制数,共有4种可能的状态,每种状态对应一个输入。

当选择信号为00时,输出为第一个输入;当选择信号为01时,输出为第二个输入;当选择信号为10时,输出为第三个输入;当选择信号为11时,输出为第四个输入。

逻辑电路图以下是4选1数据选择器的逻辑电路图:______S0 ----| || |S1 ----| |----- Y|______|Verilog实现下面是实现4选1数据选择器的Verilog代码示例:module mux4to1 (input [3:0] D, input [1:0] S, outpu t Y);assign Y = (S[1] & S[0] & D[3]) | (S[1] & ~S[0] & D [2])| (~S[1] & S[0] & D[1]) | (~S[1] & ~S[0] & D[0]);endmodule在上面的代码中,D是4个输入的信号线,S是选择信号线,Y是输出信号线。

根据选择信号的不同状态,使用逻辑运算符进行输入的选取,然后将结果输出到输出信号线Y上。

仿真测试为了验证4选1数据选择器的正确性,可以进行仿真测试。

以下是一个简单的测试示例:module test_mux4to1;// Declare signalsreg [3:0] D;reg [1:0] S;wire Y;// Instantiate the modulemux4to1 mux (D, S, Y);// Stimulusinitial begin// Test case 1D = 4'b0001; S = 2'b00; // Expect Y to be 0 #10;// Test case 2D = 4'b0001; S = 2'b01; // Expect Y to be 0 #10;// Test case 3D = 4'b0001; S = 2'b10; // Expect Y to be 0 #10;// Test case 4D = 4'b0001; S = 2'b11; // Expect Y to be 1 #10;$finish;endendmodule上述代码中,D和S是输入信号,Y是输出信号。

实验二4选1数据选择器的设计

实验二4选1数据选择器的设计

实验二4选1数据选择器的设计实验二 4选1数据选择器的设计实验学时:2学时实验类型:设计实验要求:必做一、实验目的通过实验让学生掌握组合逻辑电路的EDA原理图输入设计法,通过电路的仿真和硬件验证,让学生进一步了解4选1数据选择器的功能。

二、实验原理数据选择器又叫“多路开关”。

数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。

数据选择器的功能类似一个多掷开关。

数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。

数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。

图1 4选1数据选择器原理图图1是一个4选1数据选择器,d3—d0是数据输入端,s1和s0是控制输入端,y是4选1数据输出端。

三、实验内容设计并实现一个4选1数据选择器,要求根据原理图写出它的逻辑关系,并利用开发工具软件对其进行编译和仿真,最后通过实验开发系统对其进行硬件验证。

四、实验步骤1)在Maxplus2的图形编辑方式下,从prim元件库中调出4选1数据选择器电路所需要的元件。

并按照图1所示的原理电路,完成4选1数据选择器原理图的输入设计。

2)保存好原理图文件,以mux41.gdf为文件名保存在工程目录中。

执行Compiler 命令对设计文件进行编译。

执行Create Default Symbol命令,可为4选1数据选择器生成一个元件符号。

3)在波形编辑方式下,编辑mux41.gdf的波形文件,并完成输入信号d3,d2,d1和d0,控制信号s1和s0电平的设置。

波形文件编辑结束后以mux41.scf为波形文件名存盘。

执行仿真器Simulator命令,仿真开始,观察仿真波形进行设计电路的功能验证。

五、实验结果1. 4选1数据选择器的逻辑功能及真值表2.仿真波形。

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告姓名:学号:班级:实验14选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。

2.学习使用原理图进行设计输入。

3.初步掌握器件设计输入、编译、仿真和编程的过程。

4.学习实验开发系统的使用方法。

二、实验仪器与器材1.EDA开发软件一套2.微机一台3.实验开发系统一台4.打印机一台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。

实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。

本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。

实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。

例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。

学会管脚锁定以及编程下载的方法等。

四、实验要求1.完成4选1数据选择器的原理图输入并进行编译;2.对设计的电路进行仿真验证;3.编程下载并在实验开发系统上验证设计结果。

五、实验结果4选1数据选择器的原理图:仿真波形图:管脚分配:实验2 四位比较器一、实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。

2.学习层次化设计方法。

二、实验仪器与器材1.EDA 开发软件 一套2.微机 一台3.实验开发系统 一台4.打印机 一台5.其它器件与材料 若干三、实验说明本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和0123B B B B ,输出为M (A=B ),G (A>B )和L (A<B )(如图所示)。

用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。

四、实验要求1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。

(VHDL实验报告)四选一数据选择器的设计

(VHDL实验报告)四选一数据选择器的设计
数字电路EDA设计与应 用
四选一数据选择器
乱弹的枇杷
二、实验目的
1、熟悉四选一数据选择器的工作原理。
2、进一步掌握VHDL顺序语句和并行语句的使用。
3、进一步熟悉QUARTUSⅡ软件的使用方法和VHDL输入的全
过程。
三、实验原理
在数字系统中常需要将多路数据有选择地分别传送到公共
数据线上去,完成这一功能的逻辑电路称为数据选择器。 数据选择器是一种通用性很强的中规模集成电路,它的用 途很广。
3)点击 Add Hardware 按钮,出现 Add Hardware 对话框,在 Add Hardware 对话 框中,从 Hardware type 列表中选择所需要硬件类型,如果是 USB 接口的请参照用户使用手册 中的 USB 电缆的安装与使用,如果使用的是并口下载线则选取如下图 所示的硬件类型,点击 OK按钮,完成对硬件类型的设置。回到编程器硬件设置窗口, 点击 Close 按钮退出设置。则在 编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。 4)此次实验室所用的安装驱动的方式为:右键“我的电脑”--设备管理器--双击有 黄色问号通用USB--驱动程序--更新驱动程序--从列表或安装……--下一步--下一步--从磁盘安 装--浏览--在我的电脑D盘中选择找到USB bluster即可安装好驱动。 5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个 工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加 载文件后,再点选 Progam/Configure,编程模式选取 JTAG 模式,点击 STRAT进行文件加载, 直到加载进度变为 100%,文件成功加载完成。
五、实验步骤

4选1数据选择器

4选1数据选择器

电子设计技术课程设计四位加法器姓名:黄政学号:2011059605班级:通信工程zb421101指导教师:郑雪娇2013年12月26日一、设计目的1. 熟练使用Verilog HDL语言在mux plusⅡ软件平台上编写程序,完成编译工作。

2.学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用,了解VHDL程序的基本结构。

3.掌握使用EDA工具设计数字系统的设计思路和设计方法。

学习VHDL基本逻辑电路的综合设计应用。

4.学习实验开发系统的使用方法。

二、设计内容1、设计并调试好一个4选1数据选择器。

2、仿真、分析结果、绘制波形三、设计过程1、程序的设计打开mux plusⅡ,单击file,选择new ,选择Editor file类型。

进行文本编辑,编辑完成后并以“max4_1.vhd”(注意后缀是.Vhd)为文件名,存在自己建立的工程目录D:\(自己的文件夹)内。

进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。

程序设计内容如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX41A ISPORT(D3,D2,D1,D0,A1,A0,EN:IN STD_LOGIC;Y:OUT STD_LOGIC);END ENTITY MUX41A;ARCHITECTURE ONE OF MUX41A ISBEGINY<=D0 WHEN A1='0' AND A0='0' AND EN='1'ELSE D1 WHEN A1='0' AND A0='1' AND EN='1'ELSE D2 WHEN A1='1' AND A0='0' AND EN='1'ELSE D3 WHEN A1='1' AND A0='1' AND EN='1'ELSE 'Z';END ARCHITECTURE ONE;3、功能仿真设计文件仿真,是对电路设计的一种间接的检测方法。

EDA四选一选择器设计

EDA四选一选择器设计

4选1数据选择器1·设计背景和设计方案1·1设计背景该设计是以数字电子技术为基础,实现数据从四位数据中按照输入的信号选中一个数,来实现所期望的逻辑功能。

1·2设计方案用拨码开关作四位数据及两位控制端的输入,LED 作输出,通过拨码开关组成控制输入端s1和s0不同组合,观察LED 与数据输入端a,b,c,d 的关系,验证四选一数据选择器设计的正确性。

使用逻辑门电路与、或、非的组合来表达4选1数据选择器,通过控制输入的信号来控制输出的信号值。

其逻辑电路图如下:3021D D D D其示意框图如下:其中输入数据端口为D0、D1、D2、D3,A 、A ’为控制信号,Y 为输出。

令AA ’=“00”时,输出Y=D0;令AA ’=“01”时,输出Y=D1;令AA ’=“10”时,输出Y=D2;令AA ’=“11’ 时,输出Y=D3;D0输入 D 1 数据 D 2 D 3真值表如下:D3 1 1 D32·方案实施1)程序12·1·1设计思路四选一多路选择器设计时,定义输入S为标准以内漏记为STD_LOGIC,输出的信号Z的数据类型定义为2位标准逻辑矢量位STD_LOGIC_VECTOR( 1 DOWNTO 0 ).使用LIBRATY语句和USE语句,来打开IEEE库的程序包STD_LOGIC_1164.ALL。

当输入信号时,程序按照输入的指令来选择输出,例如输入信号为“00”时,将a的值给z,进而输出z的值,输入信号为“11”是,将a的值给z,进而输出z的值。

若输入信号是已经定义的四个信号之外的值时(即当IF条件语句不满足时),输出值为x,并将x的值给输出信号z。

这样即可实现四选一数据选择的功能。

2·1·2程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 isPORT (a,b,c,d :IN STD_LOGIC;s :IN STD_LOGIC_VECTOR(1 DOWNTO 0);z : OUT STD_LOGIC);END mux41;ARCHITECTURE one OF mux41 ISBEGINPROCESS( s,a,b,c,d)BEGINCASE s ISWHEN "00" => z <= a;WHEN "01" => z <= b;WHEN "10" => z <= c;WHEN "11" => z <= d;WHEN OTHERS => z <=null;END CASE;END PROCESS;END one;2·1·3运行结果当输入信号“00”时,输出信号z的值为‘a’;当输入信号“01”时,输出信号z的值为‘b’;当输入信号“10”时,输出信号z的值为‘c’;当输入信号“11”时,输出信号z的值为‘d’;2·1·4波形仿真及描述输入:a 的波形周期为10ns,b的波形周期为5ns,c的波形周期为15ns,d的波形周期为8ns。

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)

EDA实验报告(四选⼀、四位⽐较器、加法器、计数器、巴克码发⽣器)实验1 4选1数据选择器的设计⼀、实验⽬的1.学习EDA软件的基本操作。

2.学习使⽤原理图进⾏设计输⼊。

3.初步掌握器件设计输⼊、编译、仿真和编程的过程。

4.学习实验开发系统的使⽤⽅法。

⼆、实验仪器与器材1.EDA开发软件⼀套2. 微机⼀台3. 实验开发系统⼀台4. 打印机⼀台三、实验说明本实验通过使⽤基本门电路完成4选1数据选择器的设汁,初步掌握EDA设计⽅法中的设汁输⼊、编译、综合、仿真和编程的过程。

实验结果可通过实验开发系统验证,在实验开发系统上选择⾼、低电平开关作为输⼊,选择发光⼆极管显⽰输出电平值。

本实验使⽤Quartus II软件作为设计⼯具,要求熟悉Quartus II软件的使⽤环境和基本操作,如设计输⼊、编译和适配的过程等。

实验中的设计⽂件要求⽤原理图⽅法输⼊,实验时,注意原理图编辑器的使⽤⽅法。

例如,元件、连线、⽹络爼的放巻⽅法和放⼤、缩⼩、存盘、退岀等命令的使⽤。

学会管脚锁定以及编程下载的⽅法等。

四、实验要求1.完成4选1数据选择器的原理图输⼊并进⾏编译;2.对设计的电路进⾏仿真验证:3.编程下载并在实验开发系统上验证设计结果。

五、实验结果管脚分配:N;如kne DteOwn LccatMi Pwecgj G【c^p I/ODo-l 2 GC6P I ifo Xfl-c t nk A Igt PHJ V21Bl NO AS-VLUTrifd2?B Irpjt PIW.VI DJ_W ^>VLVTTl(d 3? co1r(xt P1M IPS5a^Lumid 庐Cl Irpul P1W.KC654a>vivin(d 5* C213P1KLP2S M」JO a>vLum(d 6* C3Inpjt叽⼼:■? ^3-VLVTn(d I* GK incut PJWJtfH7B7JJ1 a>VLUTn(d8o v O J U X A7B7 M J S3-VLVTn(d9<wvx4fr?实验2 四位⽐较器⼀、实验⽬的1. 设计四位⼆进制码⽐较器,并在实验开发系统上验证。

四选一数据选择器课程设计

四选一数据选择器课程设计

四选一数据选择器课程设计EDA课程设计报告题目:四选一数据选择器院系班级:设计者:指导老师:设计时间:目录目录............................................................... - 1 - 1、设计目的、要求......................................... - 2 -1.1、设计目的.......................................... - 2 -1.2、系统设计要求.................................. - 2 -1.3、设计工具.......................................... - 3 -2、设计原理及相关硬件................................. - 3 -2.1、系统设计方案及原理...................... - 3 -2.2、硬件原理.......................................... - 4 -3、主要模块设计............................................. - 5 -3.1、模块xy4 ........................................... - 5 -4、系统编译及仿真过程................................. - 6 -4.1、工程建立.......................................... - 6 -4.2、系统编译.......................................... - 7 -4.3、仿真.................................................. - 8 -5、硬件验证过程和分析................................. - 8 -5.1、引脚设置和保护.............................. - 8 -5.2、硬件下载.......................................... - 9 -6、实验参考程序........................................... - 12 -6.1、模块xy4 ......................................... - 12 -7、总结........................................................... - 14 -1、设计目的、要求1.1、设计目的了解并掌握一般设计方法,具备初步的独立设计能力;掌握用VerilogHDL语言程序的基本技能;提高综合运用所学的理论知识独立分析和解决问题的能力;进一步掌握EDA技术的开发流程,学习其独特的运用,进一步的提高自己的动手能力和知识领域。

实验七 4选1和8选1数据选择器的设计

实验七 4选1和8选1数据选择器的设计

浙江大学城市学院实验报告纸一.实验目的(1)通过用VHDL语言设计4选1数据选择器和8选1数据选择器;(2)掌握4选1数据选择器的设计方案;(3)掌握软件工具的使用方法。

二.实验原理当S=0时工作,S=1时禁止工作。

A0和A1为4选1数据选择器的控制信号。

三.实验内容用VHDL言设计4选1数据选择器,进行编译、波形仿真及器件编程,并自行用VHDL语言设计8选1数据选择器。

四.源程序清单(1)4选1数据选择器library ieee;use ieee.std_logic_1164.all;entity gaoqianyi isport (d0,d1,d2,d3,a0,a1,s:in std_logic;y:out std_logic);end gaoqianyi;architecture zhang of gaoqianyi is signal a:std_logic_vector(1 downto 0); beginprocess (a0,a1)begina<=a1&a0;if (s='0') thencase a iswhen"00"=>y<=d0;when"01"=>y<=d1;when"10"=>y<=d2;when others=>y<=d3; end case;end if;end process;end zhang;(2)8选1数据选择器library ieee;use ieee.std_logic_1164.all;entity sxy isport(d0,d1,d2,d3,d4,d5,d6,d7,a0,a1,a2,s:in std_logic;y:out std_logic);end sxy;architecture zhang of sxy issignal sel:integer range 0 to 8;beginsel<=0 when a0='0' and a1='0' and a2='0' and s='0' else1 when a0='1' and a1='0' and a2='0' and s='0' else2 when a0='0' and a1='1' and a2='0' and s='0' else3 when a0='1' and a1='1' and a2='0' and s='0' else4 when a0='0' and a1='0' and a2='1' and s='0' else5 when a0='1' and a1='0' and a2='1' and s='0' else6 when a0='0' and a1='1' and a2='1' and s='0' else7 when a0='1' and a1='1' and a2='1' and s='0' else8;with sel selecty <= d0 when 0,d1 when 1,d2 when 2,d3 when 3,d4 when 4,d5 when 5,d6 when 6,d7 when 7,'0' when others;end zhang;五.实验总结这个实验通过用VHDL语言设计了4选1数据选择器和8选1数据选择器,在编译时遇到一些语法问题,在老师的指导下都轻松的编译成功。

四选一数据选择器的设计

四选一数据选择器的设计

XX大学实习(实训)报告实习(实训)名称:电工电子实习学院:专业、班级:指导教师:报告人:学号:时间: 2011年7月1日至 2011年7月8日实习主要内容:(1)了解EDA技术的发展及应用(2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计(3)学习MAX+PLUSⅡ软件的应用方法(4)应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真主要收获体会与存在的问题:通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。

同时也体会到设计课的重要性和目的性所在。

同时这次实习也有很多收获,首先我们学会了MAX+PLUSⅡ软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

指导教师意见:建议成绩:指导教师签字:年月日备注:实习报告1.目的(1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识(2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。

2.内容2.1 maxplus2的认识(1)Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera 是世界上最大可编程逻辑器件的供应商之一。

Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

(2)、Max+plusⅡ开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。

(3)、Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

四选一多路选择器设计实验

四选一多路选择器设计实验

四选一多路选择器设计实验在网络通信领域,多路选择器是一种重要的技术,它能够在单个处理器上同时处理多个输入/输出通道。

多路选择器可以实现多种功能,例如网络路由选择、数据包排队等。

在本文中,我将设计一个四选一多路选择器并进行实验,以研究和验证其性能和功能。

首先,我们需要了解四选一多路选择器的原理和结构。

四选一多路选择器是一种数字电路,其输入有四个信号,输出则选择其中一个信号进行传输。

为了实现这个功能,我们可以采用多种方式,其中一种常用的方法是使用多个多输入与门。

在我们的设计中,我们将使用四个2输入与门和两个2输入或门来实现四选一多路选择器。

我们给每个输入信号分配两个与门,并将其输出分别与两个或门的一个输入相连,另一个输入与输入控制信号相连。

当输入控制信号为1时,相应的输入信号被传输到输出;当输入控制信号为0时,相应的输入信号被屏蔽。

接下来,我们将进行实验来验证这个四选一多路选择器的性能和功能。

在实验中,我们将使用四个输入信号A、B、C和D,并通过一个控制信号S选择其中一个信号。

首先,我们将进行真值表测试,以验证多路选择器在不同输入和控制信号下的输出是否正确。

通过输入所有的可能组合,并手动确认输出是否符合预期,我们可以确保多路选择器的逻辑电路正确。

接下来,我们将进行时序性能测试,以验证多路选择器的响应时间。

我们可以通过给输入信号和控制信号施加电压脉冲,并测量输出信号的变化时间来评估多路选择器的响应快慢。

通过测试多个输入和控制信号组合下的响应时间,我们可以得出多路选择器的平均响应时间。

除了性能测试,我们还可以进行功能测试。

例如,我们可以测试选择不同输入信号时,多路选择器对于传输信号的正确性。

我们可以通过输入一个或多个特定的输入信号,并观察输出信号是否正确来评估多路选择器的功能。

最后,我们可以进行扩展实验来探索多路选择器的应用。

例如,我们可以通过将多个四选一多路选择器连接起来,实现更复杂的多路选择功能。

我们还可以将多路选择器与其他数字电路组合起来,以构建更复杂的系统。

EDA与VHDL实验-四选一数据选择器

EDA与VHDL实验-四选一数据选择器

1.QuartusII软件的具体设计步骤1.1建立文件夹在这个文件夹里创建二级文件夹my4s1存放相应项目、程序文件;在一级文件夹里存放RTL电路和仿真波形文件。

1.2创建工程通过 File => New Project Wizard为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称为my4s1。

1.3设计输入通过 File => New 菜单命令,在随后弹出的对话框中选择 VHDL File选项,点击 OK 按钮。

通过File => Save As 命令,将其保存,并加入到项目中。

在VHDL界面输入两输入与门程序,然后通过 File => Save As 命令保存。

1.4综合和编译选择Processing =>Start Compilation,检查程序语法错误,并生成RTL图。

执行Tools =>Netlist Viewer =>RTL Viewr, 生成RTL图。

1.5模拟仿真在 File 菜单下,点击 New 命令。

在随后弹出的对话框中,选中 Vector Waveform File 选项。

进入波形编辑器窗口工具条。

指定模拟终止时间。

Edit => Node Finder,点击 List 按钮,列出电路所有的端子,全部拉入波形区。

编辑输入激励信号波形.分别选中 a,b,c,d,s1,s2信号,指定为不同的clock,en分高低电平测试。

功能仿真:Processing=>Generate Functional Simulation Netlist,使用命令Processing=>Simulator Tool ,选择fundamatol,开始仿真。

【注】本设计使用QuartusII 9.1版本,内有仿真器。

2.程序设计library IEEE;use IEEE.std_logic_1164.all;entity my4s1 isport(a:in std_logic;b:in std_logic;c:in std_logic;d:in std_logic;en:in std_logic;s1:in std_logic;s2:in std_logic;y:out std_logic);end my4s1;architecture Behavioral of my4s1 issignal s:std_logic_vector(1downto0);signal y1:std_logic;beginprocess(en,y1)beginif(en='0')theny<=y1;elsey<='1';end if;end process;s<=s2&s1;y1<=a when s="00"elseb when s="01"elsec when s="10"elsed;end Behavioral;3.RTL电路四选一数据选择器RTL电路4.功能仿真波形图:en=0四选一数据选择题仿真结果(1) en=1四选一数据选择题仿真结果(2)波形分析:当en=1时,不论a~c的输入状态如何,均无输出(y=1),多路开关被禁止;使能端en=0时,多路开关正常工作,根据地址码s2,s1的状态选择a~c输入信号中某一个通道的数据输送到输出端y。

EDA论文4选1多路选择器的设计

EDA论文4选1多路选择器的设计

4选1多路选择器的设计班级xxxxx 姓名xxxxx 学号xxxxxx一、内容摘要多路选择器是数据选择器的别称。

在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开并。

数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。

多路选择器可以从多组数据来源中选取一组送入目的地。

它有4选1数据选择器、8选1数据选择器(型号为74151、74LS151、74251、74LS151)、16选1数据选择器(可以用两片74151连接起来构成)等之分。

多路选择器还包括总线的多路选择,模拟信号的多路选择等,相应的器件也有不同的特性和使用方法它的应用范围相当广泛,从组合逻辑的执行到数据路径的选择,经常可以看到它的踪影。

另外在时钟、计数定时器等的输出显示电路中经常利用多路选择器制作扫描电路来分别驱动输出装置,以降低功率的消耗。

有时也希望把两组没有必要同时观察的数据,设置为共享一组显示电路,以降低成本。

二、关键词关键词:多路选择器,逻辑图,逻辑函数三、问题分析设计内容一:根据以下流程,利用QuartusII完成四选一多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。

设计内容二:在试验系统上硬件测试,验证此设计的功能。

对于引脚锁定以及硬件下载测试。

设计内容三:对VHDL不同描述方式的四选一多路选择器进行硬件实验,比较他们的特性。

四选一选择器VHDL源程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4a1 ISPORT (input:IN STD_LOGIC_VECTOR(3 DOWNTO 0);a,b:IN STD_LOGIC;y:OUT STD_LOGIC );END ENTITY mux4a1;ARCHITECTURE rtl OF mux4a1 ISSIGNAL sel:STD_LOGIC_VECTOR (1 DOWNTO 0);BEGINsel<=b&a;PROCESS (input,sel) IS BEGIN IF(sel="00") THEN y<=input(0); ELSIF(sel="01") THEN y<=input(1); ELSIF(sel="10") THEN y<=input(2); ELSE y<=input(3); END IF;END PROCESS;END ARCHITECTURE rtl;程序分析:四选一多路选择器设计时,定义输入S 为标准以内漏记为STD_LOGIC,输出的信号y 的数据类型定义为2位标准逻辑矢量位STD_LOGIC_VECTOR( 1 DOWNTO 0 ).使用LIBRATY 语句和USE 语句,来打开IEEE 库的程序包STD_LOGIC_1164.ALL 。

基本触发器,四选一数据选择器

基本触发器,四选一数据选择器
2.功能仿真(前仿真) :将文件调入HDL仿真软件进行功能仿真,检查逻辑功能是否正确。
3.逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式和信号的连接关系。逻辑综合软件会生成.edf(edif)的EDA工业标准文件。
4.布局布线:将.edf文件调入PLD厂家提供的软件中进行布线,即把设计好的逻辑安放到PLD/FPGA内
用拨码开关作四位数据及两位控制端的输入,LED作输出,通过拨码开关组成控制输入端s1和s0不同组合,观察LED与数据输入端a,b,c,d的关系,验证4选一数据选择器设计的正确性。
2.基本触发器
先按键选择一种触发器(按键0--2分别代表D,JK,T触发器),再拨动拨码开关(SW0为D触发器输入,SW1,SW2为JK触发器输入,SW3为T触发器输入),观察验证小LED上显示的结果。
1.2 设计的基本内容
本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现。用原理图输入法或VHDL文本输入法设计4选1数据选择器基本触发器电路,建立4选1数据选择器和基本触发器的实验模式。通过电路仿真和硬件验证,进一步了解4选1数据选择器和基本触发器的功能。
1.四选一数据选择器
2.基本触发器的设计
基本触发器器可由VHDL程序来实现,下面是基本触发器的一部分VHDL代码:
process(clk,key)
BEGIN
if (key="111") then cnt0<=0;
2 EDA、VHDL简介
2.1 EDA技术
20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力地发展和社会信息化程度地提高,同时也使现代电子产品性能进一步地提高,产品更新换代的节奏也越来越快。EDA技术是伴随着计算机、集成电路、电子系统的设可阶计发展起来的。回顾近30年电子设计技术的发展历程,将EDA技术由浅到深分为CAD阶段、CAE阶段、ESDA段这3个阶段:(1) CAD阶段。20世纪70年代,随着中小规模集成电路的开发应用,传统的手工制图设计印刷电路板和集成电路的方法已无法满足设计精度和效率的要求,因此工程师们开始进行二维平面图形的计算机辅助设计,以便解脱繁杂、机械的版图设计工作,这就产生了第1代EDA工具— CAD(计算机辅助设计)。这是EDA发展的初级阶段,其主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线。它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。这类专用软件大多以微机为工作平台,易于学用,设计中小规模电子系统可靠有效,现仍有很多这类专用软件被广泛应用于工程设计。(2) CAE阶段。20世纪80年代,为适应电子产品在规模和制作上的需要,应运出现了以计算机仿真和自动布线为核心技术的第2代EDA技术,即CAE计算机辅助工程设计阶段。这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计能在产品制作之前预知产品的功能与性能,已经具备了自动布局布线、电路的逻辑仿真、电路分析和测试等功能,其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。与CAD相比,CAE除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,从而实现工程设计。(3) ESDA阶段。20世纪90年代,尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用比较困难,并且互不兼容,直接影响到设计环节间的衔接。基于以上不足,EDA技术继续发展,进人了以支持高级语言描述、可进行系统级仿真和综合技术为特征的第3代EDA技术—ESDA电子系统设计自动化阶段。这一阶段采用一种新的设计概念自顶而下(Top - Down)的设计程式和并行工程(Concurrent Engineering)的设计方法,设计者的精力主要集中在所要电子产品的准确定义上,EDA系统去完成电子产品的系统级至物理级的设计。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

[管理]四选一数据选择器的设计
XX大学
实习,实训,报告
实习,实训,名称: 电工电子实习
学院:
专业、班级:
指导教师:
报告人:
学号:
时间: 2011年7月1日至 2011年7月8日
实习主要内容:
(1) 了解EDA技术的发展及应用
(2) 掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计
(3) 学习MAX+PLUS?软件的应用方法
(4) 应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图
和文本法两种方法实现),并在MAX+PLUS?上仿真主要收获体会与存在的问题: 通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实
践经验还是比较缺乏,理论联系实际的能力还急需提高。

同时也体会到设计课的重要性和目的性所在。

同时这次实习也有很多收获,首先我们学会了MAX+PLUS?软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

指导教师意见:
建议成绩:
指导教师签字:
年月日
备注:
实习报告
1(目的
(1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识
(2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。

2(内容
2.1 maxplus2的认识
(1) Max+plus?是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。

Max+plus?界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max+plus?上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

(2)、Max+plus?开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。

(3)、Max+plus?软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

Max+Plus II 工具软件,是现代最先进的仿真设计技术。

在普通电脑上就可以操作,十分方便。

Max+Plus II 工具软件,是功能强大的EDA综合设计系统工具。

2.2 4选1数据选择器
(1)创建电路
原理:数据选择器又称为多路转换器或多路开关,它是数字系统中常用的一种典型电路。

其主要功能是从多路数据中选择其中一路信号发送出去。

所以它是一个多输入、单输出的组合逻辑电路。

4选1数据选择器的元件符号如图一所示,其中D0、D1、D2、D3是4位数据输入端,A0和A0是控制输入端,Y是数据输出端。

当A1A0=00时,输出
Y=D1;A1A0=01时,Y=D1;A1A0=10时,Y=D2;A1A0=11,Y=D3。

图一 4选1数据选择器的
元件符号
4选1数据选择器的真值表为:
输入输出
A A D D D D F 010123
0 0 0 × × × 0
0 0 1 × × × 1
0 1 × 0 × × 0
0 1 × 1 × × 1
1 0 × × 0 × 0
1 0 × × 1 × 1
1 1 × × × 0 0
1 1 × × × 1 1
真值表可简化为:
A1 A0 D F
0 0 D0 D0
0 1 D1 D1
1 0 D
2 D2
1 1 D3 D3
由真值表写出输出逻辑表达式
F=(AA)D+(AA)D+(AA)D+(AA)D 100101102103
由逻辑表达式做出逻辑电路图。

图二 4选1数据选择器原理图
在MAX+plus?软件下做出4选1数据选择器的原理图。

如图所示,D0、D1、s=0D2、D3为4路数据输入端,A0、A1为选择控制信号输入端,F为输出端。

s=1时电路工作,时电路不工作,不管D取何值,F始终为0。

(2)分析
分析上面的4选1数据选择器原理图
如图二,4选1数据选择器有七个输入端和一个输出端,其中四个为数据输入端,两个为控制信号输入端。

电路由五个非门、四个与门和一个或门组成。

编译无误后,在MAX+plus?软件下的Wave Editor中对4选1数据选择器进行仿真,记录仿真波形,如图
图三 4选1数据选择器
的仿真波形图
s=0分析:如图所示时电路工作,D0、D1、D2、D3分别输入四个波形,先对A0选择初始电平为“0”,时钟周期为“200ns”,倍数为“1”,按同样的方法为A1输入端添加激励信号,时钟周期倍数为A0输入端的2倍。

这样我们就为A0、A1输入端分别添加了时钟周期为200ns和400ns的激励信号。

(3)结论
上图的仿真波形的真值表为:
S 0 1
D3 0 0 0 1
D2 0 0 1 0
D1 0 1 0 0
1 0 0 0 D0
0 0 1 1 A1
0 1 0 1 A0
F 1 1 1 1 0
从而验证了4选1数据选择器设计的正确性和功能的正确性。

3.个人特色
采用文本编辑法,即利用VHDL语言描述四选一数据选择器
其仿真波形为:
用VHDL语言编写的4选1数据选择器如下: library IEEE;
use IEEE.std_logic_1164.all;
entity muxl is
port(
D0,D1,D2,D3:in std_logic_vector(7 downto 0); S:in integer range 0to 3;
F:out std_logic_vector(7 downto 0))
end muxl;
architecture date of muxl is
begin
with S select
F<=D0 when 0,
D1 when 1,
D2 when 2,
D3 when 3,
"00000000" when others;
end date;
4. 结束语
通过一星期的紧张工作,最后完成了我的设计任务。

这次实习我的题目是4选1数据选择器的设计,通过学习我掌握了MAX+plus?的使用方法,运用软件和理论知识我设计出了4选1数据选择器的原理图,编译正确后,接着又对原理图进行了仿真,并且得到了预期的结果,设计的原理图简单明了,容易理解。

通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。

同时也体会到设计课的重要性和目的性所在。

本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。

相关文档
最新文档