数电实验答案
数电实验考试题及答案
数电实验考试题及答案一、选择题(每题2分,共20分)1. 在数字电路中,一个触发器的状态由其输入端的信号决定,而不受输出端的影响。
这个触发器是()。
A. RS触发器B. D触发器C. JK触发器D. T触发器答案:B2. 以下哪个不是组合逻辑电路的特点?()A. 输出只依赖于当前输入B. 输出与输入之间存在时间延迟C. 输出与输入之间没有记忆功能D. 输出状态随输入状态的变化而变化答案:B3. 在一个4位二进制计数器中,当计数器从0计数到15时,输出端Q3Q2Q1Q0的状态变化顺序是()。
A. 0000 -> 0001 -> 0010 -> 0011 -> 0100 -> 0101 -> 0110 -> 0111 -> 1000 -> 1001 -> 1010 -> 1011 -> 1100 -> 1101 -> 1110 -> 1111 -> 0000B. 0000 -> 0001 -> 0010 -> 0011 -> 0100 -> 0101 -> 0110 -> 0111 -> 1000 -> 1001 -> 1010 -> 1011 -> 1111 -> 1110 -> 1101 -> 0000C. 0000 -> 0001 -> 0010 -> 0011 -> 0100 -> 0101 -> 0110 ->0111 -> 1000 -> 1001 -> 1010 -> 1011 -> 1110 -> 1101 -> 1111 -> 0000D. 0000 -> 0001 -> 0010 -> 0011 -> 0100 -> 0101 -> 0110 -> 0111 -> 1000 -> 1001 -> 1010 -> 1011 -> 1100 -> 1101 -> 1110 -> 1111答案:A4. 以下哪个逻辑门电路可以实现异或(XOR)功能?()A. 与非门B. 或非门C. 与门D. 异或门答案:D5. 在数字电路中,一个D触发器的输出Q与输入D的关系是()。
数字电子技术础实验指导书(第四版本)答案
数字电子技术基础实验指导书(第四版本)答案实验一:二进制和十进制数转换实验目的通过本实验,学生应能够掌握以下内容:•理解二进制和十进制数的定义;•掌握二进制和十进制数之间的相互转换方法;•了解计算机中数字的表示方式。
实验器材•D型正相触发器74LS74;•全加器IC 74LS83N;•BCD码转十进制码芯片74LS85N;•多路数据选择器74LS139;•Logisim仿真软件。
实验原理在本实验中,我们将学习如何将二进制数转换为十进制数,以及如何将十进制数转换为二进制数。
二进制数转换为十进制数二进制数是一种由0和1组成的数制。
要将二进制数转换为十进制数,我们将按照以下步骤进行:1.从二进制数的最低位开始,将每个位上的数字乘以2的幂,幂的值从0开始,并以1递增。
2.计算结果得到的数值将二进制数转换为十进制数。
例如,将二进制数1101转换为十进制数的过程如下:(1 × 2^3) + (1 × 2^2) + (0 × 2^1) + (1 × 2^0)= 13十进制数转换为二进制数十进制数是一种由0到9组成的数制。
要将十进制数转换为二进制数,我们将按照以下步骤进行:1.将十进制数除以2,得到商和余数。
2.将商除以2,得到新的商和余数,重复此步骤,直到商为0。
3.将每个余数按从下到上的顺序排列,得到二进制数的表示。
例如,将十进制数13转换为二进制数的过程如下:13 ÷ 2 = 6 余 16 ÷ 2 = 3 余 03 ÷ 2 = 1 余 11 ÷2 = 0 余 1余数从下到上排列为1101,即为二进制数13的表示。
实验步骤1.将电路搭建如图所示:实验电路图实验电路图2.打开Logisim仿真软件,导入上述电路图。
3.分别输入二进制数和十进制数,并进行转换。
4.验证转换结果的正确性。
实验结果分析我们使用Logisim仿真软件进行实验,输入了二进制数1101和十进制数13,进行转换。
数字电子技术实验指导书(答案) PPT
1片
(三)实验内容
• 1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。
• 2.测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。
• 3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。
• 1.将器件的引脚7与实验台的“地(GND)”连接,
1、测试74LS00逻辑关系接线图及测 试结果
1 K1
K2 2
3 LED0
图1.1 测试74LS00逻辑关系接线图
输入 输出
引脚1 L L H H
引脚2 L H L H
引脚3 H H H L
表1.1 74LS00真值表
2、测试74LS02逻辑关系接线图及测 试结果
K1 2 K2 3
1 LED0
图1.2 测试74LS28逻辑关系接线图
二 、 TTL、HC和HCT器件的电压传输特性
5.在不考虑输出负载能力的情况下,从上述观点可以得 出下面的推论
(1)74H CT芯片和74HC芯片的输出能够作为 74LS芯片的输入使 用。
(2)74LS芯片的输出能够作为74HCT芯片的输入使用。 实际上,在考虑输出负载能力的情况下,上述的推论也是正确
数字电子技术实验指导书(答案)
一、基本逻辑门电路性能(参数)测试
(一)实验目的
1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 (二)实验所用器件
l.二输入四与非门74LS00
1片
2.二输入四或非门74LS02
1片
3.二输入四异或门74LS86
的。应当指出,虽然在教科书中和各种器件资料中,74LS芯片的 输出作为74HC芯片的输入使用时,推荐的方法是在74LS 芯片的 输出和十5V电源之间接一个几千欧的上拉电阻,但是由于对 74LS芯片而言,一个74HC输入只是一个很小的负载,74LS芯片 的输出高电平一般在3.5V~4.5V之间,因此在大多数的应用中, 74LS芯片的输出也可以直接作为74HC芯片的输入。
宁波大学数电实验参考答案
宁波大学数电实验参考答案(仅供参考)实验一EDA 工具软件的使用异或门B A B A F ______+=同或门AB B A F +=______实验二EDA 开发平台使用1、设计一个一位半加器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity banjia isport(a,b:in std_logic;s,c:out std_logic);end banjia;architecture behav of banjia is begins<=a xor b;c<=a and b;end behav;2、二进制全加器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fadder isport(a:in std_logic;b:in std_logic;c:in std_logic;s:out std_logic;d:out std_logic);end fadder;architecture behav of fadder isbegins<=a xor b xor c;d<=(a and b)or(a and c)or(b and c);end behav;实验五MSI组合电路的HDL设计1、3—8译码器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity decoder38isport(x:in std_logic_vector(2downto0);y:out std_logic_vector(7downto0) );end decoder38;architecture behav of decoder38isbeginprocess(x)begincase x iswhen"000"=>y<="00000001"; when"001"=>y<="00000010"; when"010"=>y<="00000100"; when"011"=>y<="00001000"; when"100"=>y<="00010000"; when"101"=>y<="00100000"; when"110"=>y<="01000000"; when"111"=>y<="10000000";when others=>null;end case;end process;end behav;2、显示译码器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi isport(a:in std_logic_vector(3downto0);b:out std_logic_vector(6downto0) );end xianshi;architecture behav of xianshi isbeginprocess(a)begincase a iswhen"0000"=>b<="0111111";when"0001"=>b<="0000110";when"0010"=>b<="1011011";when"0011"=>b<="1001111";when"0100"=>b<="1100110"; when"0101"=>b<="1101101"; when"0110"=>b<="1111101"; when"0111"=>b<="0000111"; when"1000"=>b<="1111111"; when"1001"=>b<="1101111"; when"1010"=>b<="1110111"; when"1011"=>b<="1111100"; when"1100"=>b<="0111001"; when"1101"=>b<="1011110"; when"1110"=>b<="1111001"; when"1111"=>b<="1110001";when others=>null;end case;end process;end behav;3、数据选择器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity select41isport(x:in std_logic_vector(1downto0);a:in std_logic;b:in std_logic;c:in std_logic;d:in std_logic;y:out std_logic);end select41;architecture behav of select41isbeginprocess(x)begincase x iswhen"00"=>y<=a;when"01"=>y<=b;when"10"=>y<=c;when"11"=>y<=d;when others=>null;end case;end process;end behav;实验六用MSI设计组合逻辑电路1、输血血型验证2、单“1”检测器实验七集成触发器及使用1、用触发器设计四位异步计数器2、用触发器设计四位移位寄存器实验八时序电路的HDL设计1、模可变计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder isport(clk:in std_logic;E:in std_logic;--E='1'则使能G:in std_logic;--G='1'为加,'0'为减M:in std_logic_vector(1downto0);--模选择y:out std_logic_vector(3downto0)--结果);end adder;architecture behav of adder issignal q:std_logic_vector(3downto0);beginprocess(E,G,clk)beginif E='0'thenq<=(others=>'0');elsif clk'event and clk='1'thenif G='1'thenif M="00"thenif q<"0001"thenq<=q+1;else q<=(others=>'0');end if;elsif M="01"thenif q<"0111"thenq<=q+1;else q<=(others=>'0');end if;elsif M="10"thenif q<"1001"thenq<=q+1;else q<=(others=>'0');end if;elsif M="11"thenq<=q+1;end if;elsif G='0'thenif M="00"thenif q>"1110"thenq<=q-1;elsif q="0000"thenq<="1111";else q<="1111";end if;elsif M="01"thenif q>"1000"thenq<=q-1;elsif q="0000"thenq<="1111";else q<="1111";end if;elsif M="10"thenif q>"0110"thenq<=q-1;elsif q="0000"thenq<="1111";else q<="1111";end if;else q<=q-1;end if;end if;end if;end process;y<=q;end behav;2、移位寄存器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shiftreg isport(clk:in std_logic;clr:in std_logic;load:in std_logic;fx:in std_logic;--fx='1'则左移,'0'右移M:in std_logic_vector(3downto0);y:out std_logic_vector(3downto0) );end shiftreg;architecture behav of shiftreg issignal q:std_logic_vector(3downto0);beginprocess(clk,clr,load)beginif clr='1'thenq<=(others=>'0');elsif clk'event and clk='1'thenif load='1'thenq<=M;elsif fx='1'thenq(3downto1)<=q(2downto0);q(0)<='0';elsif fx='0'thenq(2downto0)<=q(3downto1);q(3)<='0';end if;end if;end process;y<=q;end behav;实验十综合时序电路设计1、序列发生器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fangfa1isport(clk:in std_logic;y:out std_logic_vector(7downto0)--结果);end fangfa1;architecture behav of fangfa1issignal q:std_logic_vector(2downto0);beginprocess(clk)beginif clk'event and clk='1'thenq<=q+1;end if;end process;begincase q iswhen"000"=>y<="00000001";when"001"=>y<="00000010";when"010"=>y<="00000100";when"011"=>y<="00001000";when"100"=>y<="00010000";when"101"=>y<="00100000";when"110"=>y<="01000000";when"111"=>y<="10000000";end case;end process;end beha或2、序列检测器use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiance2isport(clk:in std_logic;din:in std_logic;--串行输入数据clr:in std_logic;--复位信号result:out std_logic--检测结果);end jiance2;architecture behav of jiance2issignal d:std_logic_vector(3downto0);signal y:std_logic_vector(3downto0);signal c:std_logic;begind<="1101";process(clr,clk,din)--序列移位存储beginif clr='1'or c='1'theny<="0000";else if clk'event and clk='1'theny<=y(2downto0)&din;else null;end if;end if;end process;process(clk,y)--比较序列beginif clk'event and clk='0'then--同步时钟,去除毛刺if y=d thenresult<='1';c<='1';else result<='0';c<='0';end if;else null;end if;end process;end behav;实验十一多功能数字中的设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fen isport(clk:in std_logic;load:in std_logic;sw_set:in std_logic_vector(2downto0);gw_set:in std_logic_vector(3downto0);Qa:out std_logic_vector(2downto0);co:out std_logic;Qb:out std_logic_vector(3downto0));end;architecture a of fen issignal tema:std_logic_vector(2downto0);signal temb:std_logic_vector(3downto0);signal sw_setreg:std_logic_vector(2downto0);signal gw_setreg:std_logic_vector(3downto0);beginprocess(clk,load)beginif load='1'then tema<=sw_set;temb<=gw_set;co<='0';elsif(clk'event and clk='1')thenif tema="101"then--若时间达59时,则清零if temb>="1001"thentema<="000";temb<="0000";co<='1';else temb<=temb+"0001";co<='0';end if;elsif temb>="1001"thentema<=tema+"001";temb<="0000";co<='0';else temb<=temb+"0001";co<='0';end if;end if;Qa<=tema;Qb<=temb;end process;end a;ibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hours isport(clk:in std_logic;load:in std_logic;sw_set:in std_logic_vector(1downto0);gw_set:in std_logic_vector(3downto0);Qa:out std_logic_vector(1downto0);Qb:out std_logic_vector(3downto0));end;architecture a of hours issignal tema:std_logic_vector(1downto0); signal temb:std_logic_vector(3downto0); signal sw_setreg:std_logic_vector(1downto0); signal gw_setreg:std_logic_vector(3downto0);beginprocess(clk,load)beginif load='1'then tema<=sw_set;temb<=gw_set;elsif(clk'event and clk='1')thenif tema="10"then--若时间达23时,则清零if temb>="0011"thentema<="00";temb<="0000";else temb<=temb+"01";end if;elsif temb>="1001"thentema<=tema+"01";temb<="0000";else temb<=temb+"0001";end if;end if;Qa<=tema;Qb<=temb;end process;end a;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity miao isport(clk,load:in std_logic;sw_set:in std_logic_vector(2downto0);gw_set:in std_logic_vector(3downto0);Qa:out std_logic_vector(2downto0);co:out std_logic;Qb:out std_logic_vector(3downto0));end;architecture a of miao issignal tema:std_logic_vector(2downto0); signal temb:std_logic_vector(3downto0); signal sw_setreg:std_logic_vector(2downto0); signal gw_setreg:std_logic_vector(3downto0); beginprocess(clk,load)beginif load='1'then tema<=(others=>'0');temb<=(others=>'0');elsif(clk'event and clk='1')thenif tema="101"then--若时间达59,则清零if temb>="1001"thentema<="000";temb<="0000";co<='1';else temb<=temb+"0001";co<='0';end if;elsif temb>="1001"thentema<=tema+"01";temb<="0000";co<='0';else temb<=temb+"0001";co<='0';end if;end if;Qa<=tema;Qb<=temb;end process;end a;实验十二交通信号灯的设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity traffic isport(clk1k:in std_logic;-------时钟信号(1khz)rst:in std_logic;-------紧急控制信号etime:out std_logic_vector(3downto0);sr,sg,sy:out std_logic;------南北方向红黄绿灯信号er,eg,ey:out std_logic------东西方向红黄绿灯信号);end traffic;architecture behav of traffic istype states is(sta0,sta1,sta2,sta3,sta4,sta5,sta6,sta7,sta8,sta9,sta10,sta11,sta12,sta13,sta1 4,sta15,sta16,sta17,sta18,sta19,sta20,sta21);signal current_state,next_state:states:=sta0;signal temp1,temp2,temp3:std_logic_vector(7downto0);signal temp4,temp5:std_logic_vector(9downto0);signal flag1,flag2,flag3,flag4:std_logic;--分别用于指示绿灯亮、绿灯闪烁、黄灯闪烁、分频signal etimereg:std_logic_vector(3downto0);signal end1,end2,end3:std_logic;signal clk:std_logic;--分频后得到的1hz时钟beginprocess(clk1k,rst)beginif rst='1'thencurrent_state<=sta0;elsif clk1k'event and clk1k='1'thencurrent_state<=next_state;end if;end process;process(current_state)begincase current_state is---------------sta0为初始状态-----------------------when sta0=>er<='1';eg<='0';ey<='0';sr<='1';sg<='0';sy<='0';flag1<='0';flag2<='0';flag3<='0';flag4<='0';etime<="1111";--stiem<="00000000";next_state<=sta1;---------------sta1为状态1:东西路口的绿灯亮,南北路口的红灯亮,持续10秒-----------------------when sta1=>er<='0';eg<='1';ey<='0';sr<='1';sg<='0';sy<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;flag1<='1';if end1='1'thennext_state<=sta2;else next_state<=sta1;end if;---------------sta2-sta6为状态2:东西路口的绿灯闪烁,南北路口的红灯亮-----------------------when sta2=>er<='0';eg<='0';--绿灯灭ey<='0';sr<='1';sg<='0';sy<='0';flag2<='1';flag1<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;if end2='1'thennext_state<=sta3;else next_state<=sta2;end if;when sta3=>er<='0';eg<='0';ey<='0';sr<='1';sg<='0';sy<='0';flag2<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta4; when sta4=>er<='0';eg<='1';--绿灯亮ey<='0';sr<='1';sg<='0';sy<='0';flag2<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end2='1'thennext_state<=sta5;else next_state<=sta4;end if;when sta5=>er<='0';eg<='1';ey<='0';sr<='1';sg<='0';sy<='0';flag2<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta6;when sta6=>er<='0';eg<='0';--绿灯灭ey<='0';sr<='1';sg<='0';sy<='0';flag2<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end2='1'thennext_state<=sta7;else next_state<=sta6;end if;---------------sta7-sta9为状态3:东西路口的黄灯闪烁,南北路口的红灯亮-----------------------when sta7=>er<='0';eg<='0';ey<='1';--黄灯亮sr<='1';sg<='0';sy<='0';flag2<='0';flag3<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end3='1'thennext_state<=sta8;else next_state<=sta7;end if;when sta8=>er<='0';eg<='0';ey<='1';sr<='1';sg<='0';sy<='0';flag3<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta9;when sta9=>er<='0';eg<='0';ey<='0';--黄灯灭sr<='1';sg<='0';sy<='0';flag3<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end3='1'thennext_state<=sta10;else next_state<=sta9;end if;when sta10=>er<='0';eg<='0';ey<='0';--过渡状态sr<='1';sg<='0';sy<='0';flag3<='0';flag4<='0';etime<=etimereg;--stime<=stimereg;next_state<=sta11;when sta11=>er<='1';eg<='0';ey<='0';sr<='0';sg<='1';sy<='0';flag1<='0';flag2<='0';flag3<='0';flag4<='0';etime<="1111";--stiem<="00000000";next_state<=sta12;---------------东西路口红灯亮,同时南北路口的绿灯亮,南北方向开始通车----------------------when sta12=>er<='1';eg<='0';ey<='0';sr<='0';sg<='1';sy<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;flag1<='1';if end1='1'thennext_state<=sta13;else next_state<=sta12;end if;---------------sta2-sta6为状态2:南北路口的绿灯闪烁,东西路口的红灯亮-----------------------when sta13=>er<='1';eg<='0';--绿灯灭ey<='0';sr<='0';sg<='0';sy<='0';flag2<='1';flag1<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;if end2='1'thennext_state<=sta14;else next_state<=sta13;end if;when sta14=>er<='1';eg<='0';ey<='0';sr<='0';sg<='0';sy<='0';flag2<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta15;when sta15=>er<='1';eg<='0';--绿灯亮ey<='0';sr<='0';sg<='1';sy<='0';flag2<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end2='1'thennext_state<=sta16;else next_state<=sta15;end if;when sta16=>er<='1';eg<='0';ey<='0';sr<='0';sg<='1';sy<='0';flag2<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta17;when sta17=>er<='1';eg<='0';--绿灯灭ey<='0';sr<='0';sg<='0';sy<='0';flag2<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end2='1'thennext_state<=sta18;else next_state<=sta17;end if;---------------sta7-sta9为状态3:东西路口的黄灯闪烁,南北路口的红灯亮-----------------------when sta18=>er<='1';eg<='0';ey<='0';--黄灯亮sr<='0';sg<='0';sy<='1';flag2<='0';flag3<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end3='1'thennext_state<=sta19;else next_state<=sta18;end if;when sta19=>er<='1';eg<='0';ey<='0';sr<='0';sg<='0';sy<='1';flag3<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta20;when sta20=>er<='1';eg<='0';ey<='0';--黄灯灭sr<='0';sg<='0';sy<='0';flag3<='1';flag4<='1';etime<=etimereg;--stime<=stimereg;if end3='1'thennext_state<=sta21;else next_state<=sta20;end if;when sta21=>er<='1';eg<='0';ey<='0';--sr<='0';sg<='0';sy<='0';flag3<='0';flag4<='1';etime<=etimereg;--stime<=stimereg;next_state<=sta0; when others=>next_state<=sta0;end case;end process;process(flag1,clk)beginif flag1='0'thentemp1<="00000000";end1<='0';elsif clk'event and clk='0'thenif temp1>="00001001"thenend1<='1';else temp1<=temp1+"00000001";end1<='0';end if;end if;end process;process(flag2,clk)beginif flag2='0'thenend2<='0';elsif clk'event and clk='0'thenend2<='1';end if;end process;process(flag3,clk)beginif flag3='0'thenend3<='0';elsif clk'event and clk='0'then end3<='1';end if;end process;process(flag4,clk)beginif flag4='0'thenetimereg<="1111";elsif clk'event and clk='1'then etimereg<=etimereg-1; end if;end process;process(clk1k)beginif clk1k'event and clk1k='1'thenif temp4>="1111101000"thenclk<='1';temp4<=(others=>'0');else temp4<=temp4+"0000000001";clk<='0';end if;end if;end process;end behav;。
数电课后习题及标准答案
题1.1 完成下面的数值转换:(1)将二进制数转换成等效的十进制数、八进制数、十六进制数。
①(0011101)2②(11011.110)2③(110110111)2解:①(0011101)2 =1×24+ 1×23+ 1×22+ 1×20=(29)10(0011101)2 =(0 011 101)2= (35)8(0011101)2 =(0001 1101)2= (1D)16②(27.75)10,(33.6)8,(1B.C)16;③(439)10,(667)8,(1B7)16;(2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制数。
①(89)②(1800)10③(23.45)1010解得到:①(1011001)2,(131)8,(59)16;②(11100001000) 2,(3410) 8,(708) 16③(10111.0111) 2,(27.31) 8,(17.7) 16;(3)求出下列各式的值。
①(54.2)16=()10 ②(127)8=()16 ③(3AB6)16=()4解①(84.125)10;②(57)16;③(3222312)4;题1.2 写出5位自然二进制码和格雷码。
题1.3 用余3码表示下列各数①(8)10 ②(7)10 ③(3)10解(1)1011;(2)1010;(3)0110题1.4 直接写出下面函数的对偶函数和反函数。
()()Y AB C D E C'=++()()Y AB A C C D E ''=+++ (())Y A B C D E '''=++++()Y A B C A B C '''=++解(1)(())(())(2)()(())()(())(3)(())(())(4)D D D D Y A B C D E C Y A B C D E C Y A B A C C D E Y A B AC C D E Y A BC DE Y A B C D E Y ABC A B C Y A B C A B C'''''''=+++=+++''''''''=+++=+++''''''''''=='''''''=+++=+++,,,,题1.5 证明下面的恒等式相等 ()()()()()()()()AB C B ABC A BC ABC AB B A B A BBC AD A B B D A C C D A C B D B D AB BC ''+=++''++=++=++++'''+++=+1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC=ABC +ABC'+ABC + A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B3、左=BC+AD , 对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。
数电实验答案
实验一、常用电子仪器的使用(实验一)一、实验目的1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。
2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。
电路实验箱的结构、基本功能和使用方法。
二、实验原理在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。
接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。
1.信号发生器信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。
输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。
输出信号电压幅度可由输出幅度调节旋钮进行连续调节。
操作要领:1)按下电源开关。
2)根据需要选定一个波形输出开关按下。
3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。
4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。
注意:信号发生器的输出端不允许短路。
2.交流毫伏表交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。
操作要领:1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。
2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。
当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。
3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。
3.双踪示波器示波器是用来观察和测量信号的波形及参数的设备。
双踪示波器可以同时对两个输入信号进行观测和比较。
操作要领:1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。
2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。
数电实验答案
数字电子技术实验报告实验一门电路逻辑功能及测试 (1)实验二数据选择器与应用 (4)实验三触发器及其应用 (8)实验四计数器及其应用 (11)实验五数码管显示控制电路设计 (17)实验六交通信号控制电路 (19)实验七汽车尾灯电路设计 (25)班级:08030801学号:2008301787 2008301949姓名:纪敏于潇实验一门电路逻辑功能及测试一、实验目的:1.加深了解TTL逻辑门电路的参数意义。
2.掌握各种TTL门电路的逻辑功能。
3.掌握验证逻辑门电路功能的方法。
4.掌握空闲输入端的处理方法。
二、实验设备:THD—4数字电路实验箱,数字双踪示波器,函数信号发射器,74LS00二输入端四与非门,导线若干。
三、实验步骤及内容:1.测试门电路逻辑功能。
选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平用与非门实现与逻辑、或逻辑和异或逻辑。
用74LS00实现与逻辑。
用74LS00实现或逻辑。
用74LS00实现异或逻辑。
2.按实验要求画出逻辑图,记录实验结果。
3.实验数据与结果将74LS00二输入端输入信号分别设为信号A 、B用74LS00实现与逻辑1A B A B =∙逻辑电路如下: 12374LS00AN45674LS00ANA BA 端输入TTL 门信号,B 端输入高电平,输出波形如下:A 端输入TTL 门信号,B 端输入低电平,输出波形如下:1、 用74LS00实现或逻辑11A B A B A B +=∙=∙∙∙逻辑电路如下12374LS00AN45674LS00AN910874LS00ANcU1A BA 端输入TTL 门信号,B 端输入高电平,输出波形如下:A 端输入TTL 门信号,B 端输入低电平,输出波形如下:2、用74LS00实现异或逻辑⊕=+=∙=∙A B AB BA AB BA ABB ABA逻辑电路如下:A端输入TTL门信号,B端输入高电平,输出波形如下:A端输入TTL门信号,B端输入低电平,输出波形如下:实验二数据选择器及其应用一、实验目的1.通过实验的方法学习数据选择器的电路结构和特点。
数字电子技术实践习题答案
《数字电子技术实践》练习题参考答案说明:本参考答案并不是唯一答案或不一定是最好答案,仅供参考。
单元 1 数字电路基础知识 边学边练1.11、 (1)12位,每位数需要一个4位BCD 码。
(2)0001 0100 01112、(1)最大为FFFH ;最小为000H 。
(2)为4096。
3、(1) 5(2) 000C7H (3) 000F9H 边学边练1.2指示灯用L 表示,亮为1,不亮为0;驾驶员到位与否用D 表示,到位为1,不到位为0;安全带扣环用B 表示,扣上为1,未扣为0;点火开关用S 表示,闭合为1,断开为0。
逻辑表达式:S B D L真值表综合练习1、 C B A D B A C B A F ⋅⋅+⋅⋅+⋅⋅=2、DC BD A H D C B A D C B A D C B A D C B A G DC B AD C A B A F DC B A E ⋅⋅+⋅=⋅⋅⋅+⋅⋅⋅+⋅⋅⋅+⋅⋅⋅=⋅⋅⋅+⋅⋅+⋅=⋅⋅⋅=3、设逻辑变量A 、B 、C 、D 分别表示占有40%、30%、20%、10%股份的四个股东,各变量取值为1表示该股东投赞成票;F 表示表决结果,F =1表示表决通过。
F =AB +AC +BCD4、设A 、B 开关接至上方为1,接至下方为0;F 灯亮为1,灯灭为0。
F =A ⊙B5、设10kW 、15kW 、25kW 三台用电设备分别为A 、B 、C ,设15kW 和25kW 两台发电机组分别为Y 和Z ,且均用“0”表示不工作,用“1”表示工作。
CAB Z B A B A Y ⋅=⋅=6、 真值表逻辑函数式为:F =A +BD +BC7、输入为余3码,用A 、B 、C 、D 表示,输出为8421BCD 码,用Y 0、Y 1、Y 2、Y 3表示。
DC A B A Y C BD C B D B Y DC Y DY ⋅⋅+⋅=⋅+⋅⋅+⋅=⊕==32108、设红、绿、黄灯分别用A 、B 、C 表示,灯亮时为1,灯灭时为0;输出用F 表示,灯正常工作时为0,灯出现故障时为1。
数电实验答案
一、TTL测试1.主要参数有哪些?测试参数的意义何在?2.怎样测量与非门输出的高低电平?高低电平的取值范围?3.测量Iil或Iolm时电流档不能用,怎么办?4.在扇出系数测试电路中电位器和220欧电阻有什么用?为什么要使Uo=0.4V,此系数计算结果若为23.9,取多少?二、组合逻辑电路1、组合逻辑电路与时序逻辑电路的区别有哪些/?2、设计组合逻辑电路的步骤。
3、设计半加器、全加器、比较器、点灯控制等逻辑电路。
三、译码器1、什么是译码器?本实验用的74LS38和CC4511有什么区别?2、怎样用138和74LS20设计全加器?步骤?3、怎么用138设计反码器?4、描述数码管种类、结构?5、设计编码到译码显示的电路显示2014。
四、选择器1、介绍四选一和八选一选择器的逻辑功能。
2、怎样用选择器实现逻辑函数或功能电路?3、设计全加器或三人表决器。
五、触发器1、画出用与非门构成基本QS触发器电路图。
2、叙述J-K触发器功能,填功能表。
3、描述T,T’触发器,CP-SQ脉冲关系。
六、计数器1、怎样用D触发器构成四位数的二进制异步加法器、?讲解其工作原理,注意哪些事项?2、讲述用74LS192构成二位十进制计数器电路。
3、用192构成任意进制计数器,讲解原理。
七、抢答器1.讲述抢答器工作原理2.锁存电路怎样锁存,主持人怎样控制清零和宣布抢答开始?3.此实验原理电路存在哪些缺点和不足,怎样改进?4.抢答器灵敏度与哪些因素有关?怎样分析影响。
八、数电常识1、TTL逻辑门引脚规则。
2、TTL电源的范围3、怎样使用集成块4、数字电路故障原因通常有哪些?5、边沿怎样产生的?能否用逻辑开关产生?6、脉冲信号与函数波信号的区别?7、TTL逻辑门输入端悬空相当于什么电平?8、怎样由与非门变非门?9、本学期数字电路接触了哪些集成块?10、TTL集成电路使用规则?数电实验答案一、TTL测试:1、主要参数有:(1)导通电源电流Iccl与截止电源电流Icch,它们的大小标志着与非门在静态情况下的功耗大小;(2)低电平输入电流Iil与高电平输入电流Iih,它的大小关系到前级门的灌电流负载能力;(3)扇出系数No,它的大小是指门电路能驱动同类门的个数;(4)电压传输特性,通过电压传输特性可知道与非门的一些重要参数,如输出高电平,输出低电平,关门电平,开门电平,阀值电平及抗干扰容限等;(5)平均传输延迟时间tpd,衡量电路开关速度。
数电实验报告答案
实验名称:数字电路基础实验实验目的:1. 熟悉数字电路的基本原理和基本分析方法。
2. 掌握数字电路实验设备的使用方法。
3. 培养动手实践能力和分析问题、解决问题的能力。
实验时间:2023年X月X日实验地点:实验室XX室实验仪器:1. 数字电路实验箱2. 万用表3. 双踪示波器4. 数字信号发生器5. 短路线实验内容:一、实验一:基本逻辑门电路实验1. 实验目的- 熟悉与门、或门、非门的基本原理和特性。
- 学习逻辑门电路的测试方法。
2. 实验步骤- 连接实验箱,设置输入端。
- 使用万用表测量输出端电压。
- 记录不同输入组合下的输出结果。
- 分析实验结果,验证逻辑门电路的特性。
3. 实验结果与分析- 实验结果与理论预期一致,验证了与门、或门、非门的基本原理。
- 通过实验,加深了对逻辑门电路特性的理解。
二、实验二:组合逻辑电路实验1. 实验目的- 理解组合逻辑电路的设计方法。
- 学习使用逻辑门电路实现组合逻辑电路。
2. 实验步骤- 根据设计要求,绘制组合逻辑电路图。
- 连接实验箱,设置输入端。
- 测量输出端电压。
- 记录不同输入组合下的输出结果。
- 分析实验结果,验证组合逻辑电路的功能。
3. 实验结果与分析- 实验结果符合设计要求,验证了组合逻辑电路的功能。
- 通过实验,掌握了组合逻辑电路的设计方法。
三、实验三:时序逻辑电路实验1. 实验目的- 理解时序逻辑电路的基本原理和特性。
- 学习使用触发器实现时序逻辑电路。
2. 实验步骤- 根据设计要求,绘制时序逻辑电路图。
- 连接实验箱,设置输入端和时钟信号。
- 使用示波器观察输出波形。
- 记录不同输入组合和时钟信号下的输出结果。
- 分析实验结果,验证时序逻辑电路的功能。
3. 实验结果与分析- 实验结果符合设计要求,验证了时序逻辑电路的功能。
- 通过实验,加深了对时序逻辑电路特性的理解。
四、实验四:数字电路仿真实验1. 实验目的- 学习使用数字电路仿真软件进行电路设计。
数电实验思考题答案
实验一 TTL 逻辑门电路 和组合逻辑电路1. Y 4具有何种逻辑功能?答:为异或门。
2. 在实际应用中若用74LS20来实现Y=AB 时,多余的输入端应接高电平还是低电平?答:多余的输入端应接高电平3. 在全加器电路中,当A i =0,S i *=1,C i =1时C i-1=?答:C i-1=1实验二 组合逻辑电路的设计1. 通过实验你觉得用小规模集成电路和中规模集成电路来设计组合逻辑电路哪个更方便些?答:中规模集成电路来设计组合逻辑电路更方便。
2. 能否以一片74LS151为核心来设计全加器?答:不能以一片74LS151为核心来设计全加器。
3. 以74LS138和门电路来设计全减器,选用TTL 或CMOS 门电路那种更合适? 答:选用TTL 门电路。
实验三 触发器的逻辑功能测试及移位寄存器1.在图3-1中经过一个CP 脉冲后,JK 触发器为何种状态? 答:JK 触发器为“1”态。
2.用74LS76的JK 触发器转换成的D 触发器与74LS74的D 触发器在工作中有什么不同之处? 图3-1答:前者在时钟脉冲后沿触发翻转,后者在时钟脉冲前沿触发翻转。
3.移位寄存器如果采用串行输出方式应从哪里输出?需送几个脉冲才能把“1101”取出? 答:移位寄存器如果采用串行输出方式应从Q 3输出。
需送八个脉冲才能把“1101” 取出。
实验四 计数器(1)1.将图4-1作什么样的改变,即可构成四位异步二进制减法计数器?答: 将低位触发器的输出端Q 接到高位触发器的时钟输入端即可。
2.图4-2中由JK 触发器构成的计数器是几进制计数器?答: 三进制计数器。
3.以74LS74为核心构成九进制计数器,至少要用几片74LS74?答:至少要用两片74LS74集成片。
1实验五计数器(2)1.异步置零和同步置零的区别在哪里?答:所谓异步置零即当置零信号一到计数器立即置零。
若置零信号到还需经一个时钟脉冲后计数器才能置零即为同步置零。
《数字电子技术实验》试卷及答案 (B卷)
数字电子技术实验试题(B卷)班级:学号:姓名:台号:成绩:说明:1 .本卷请在90分钟内完成,包括整理仪器、设备。
2 .实验数据、波形、结论和问题解答等请直接写在试卷上,否则无效。
一、判断题:请在你认为陈述正确的题目前圆括号内打“√”认为错误的打“×”(每题 1 分,共 10 分)1.() CMOS 电路比 TTL 电路功耗大。
2.()数字示波器TDS-2002垂直灵敏度设置过高时,峰峰值测量结果带“?”。
3.()寄存器、计数器都属于组合电路;编码器、译码器属于时序电路。
4.()单稳态触发器无需外加触发脉冲就能产生周期性脉冲信号。
5.() EPROM 存储器属于只读存储器,当掉电后其存储的信息不会消失。
6.()模拟示波器具有平均值采样功能7.()二进制计数器既可实现计数也可用于分频。
8. ()同步计数器的计数速度比异步计数器快。
9. ()数码管有共阳和共阴两种,选用要根据译码器的类型而定。
10. ()将几个 D 触发器进行串接,前一级触发器的输出与后一级触发器的输入连接起来,就构成了移位寄存器。
二、解答下列问题(共 20 分)1.简述扫描测试法测量TTL与非门V TH的步骤(7分)2.右下图电路具备什么功能?用于何处?S为单刀双掷开关,Q为电路输出端。
(6分)3.四位二进制同步计数器74LS161 “同步”的含义是指什么?计数器的连接如下图,当工作稳定后,请画出输出端QA 、QB 、QC 、QD 的波形。
三、实验设计(70分)用JK 触发器设计一个计数器输出4位2进制数Q4 Q3 Q2 Q1按以下规律变化:设计一控制电路,将计数器的输出作为输入,当Q4Q3Q1Q1为1001、1010时 输出Y 为0;其余六个状态输出Y 为1,要求器件数量最少。
1.计数器、控制电路完整地理论推导,画出实验电路原理图。
(25分)可用器材包括:四位二进制计数器74LS161一片,双 J-K 触发器74LS73两片、四2输入与非门74LS00两片;四2输入与非门CD4011一片、四2输入或非门74LS02一片、四2输入与门74LS08一片、四2输入或门74LS32一片、异或门74LS86一片。
杭电数电实验课内题设计答案
数字逻辑电路课内仿真实验第六章Quartusll 原理图设计初步二、实验仪器: Quartusll 软件。
三、实验内容:6-1用Quartusll 库中的宏功能模块 74138和与非门实现指定逻辑函数按照6.3节和6.4节的流程,使用 Quartusll 完整图6-2电路的设计,包括:创建工程, 在原理图编辑窗中绘制此电路, 全程编译,对设计进行时序仿真, 根据仿真波形说明此电路一、实验目的: 初步了解学习使用 Quartusll 软件进行电路自动化设计。
的功能,引脚锁定编译,编程下载于FPGA 中进行硬件测试。
最后完成实验报告。
1、原理图 両诬YDN A V1M ftv?NlCY 酬 G1 T4IM <?£AhY 州G 比hve'i^N0~、r冋幅亍 —j — ................ _y p -' :n :tl; ......................■■ .!・■ ■・[・・—・・・・UI •■■I■!■■且■ b 0 b J …J k ■ L J …―年1 一… ■ - ■ -p - pJ ip k ■ L JFN W ・・I HN 91… I PPJ 49I....… gk 八却拽:f=>E|| II- !■ i|E qi 1|1 ^1 1|1, JI 1|1 :JI 1|1 i_.i !■■_ i IIB -II iih.-i |ih»M^ii Liiqii i;=iqii l^iRn ■^■Rn审厂 恥1"=il2 T|H_3 刊毗J 刊口=1 匸10 吨112、 波形设置M^AIrimEdAT 皿rjs& 科B n* 1 [■遶 * L-r p. > ■-i h' M7 :to5 F B V 4Z3Si 出EwJ I弓舞"5 平“ 15 単“;[> 弩":*“30 号"呼"4竽 E «^竽"mq- 36 字“也4 366 呼 6鬥5 ra3、仿真波形rlKi.It WirMl¥iuFF4位二进制数值比较器 7485串联扩展为8位比较器,使用Quartusll 完成全部设 计和测试,包括创建工程、编辑电路图、全程编译、时序仿真及说明此电路的功能、弓I 脚锁 定、编程下载,进行硬件测试。
数字电路及实验试题及参考答案已描红版
数字电路及实验一、单选题1.(1分)u=-100sin(6πt+10°)V超前i=5cos(6πt-15°)A的相位差是()A. 25°B. 95°C. 115°2.(1分)在正弦交流电路中,电感元件的瞬时值伏安关系可表达为()A. image4.pngB. u=jiωLC. image5.png3.(1分)当电路中电流的参考方向与电流的真实方向相反时,该电流()A. 一定为正值B. 一定为负值C. 不能肯定是正值或负值4.(1分)在由PNP晶体管组成的基本共射放大电路中,当输入信号为1kHz,5mV的正弦电压时,输出电压波形出现了顶部削平的失真,这种失真是( )。
A. 饱和失真B. 截止失真C. 交越失真D. 频率失真5.(1分)已知基波的频率为120Hz,则该非正弦波的三次谐波频率为()A. 360HzB. 300HzC. 240Hz6.(1分)二极管的主要特性是( )。
A. 放大特性B. 恒温特性C. 单向导电特性D. 恒流特性7.(1分)在多级直接耦合放大电路中,导致零点漂移最为严重的是( )。
A. 第一级的漂移B. 中间级漂移C. 末级漂移8.(1分)在电源对称的三相四线制电路中,若三相负载不对称,则该负载各相电压()A. 不对称B. 仍然对称C. 不一定对称9.(1分)采用分布参数分析传输电路的条件是()A. image48.pngB. image49.pngC. image50.png10.(1分)图3.4电路换路前已达稳态,在t=0时断开开关S,则该电路()A. 电路有储能元件L,要产生过渡过程B. 电路有储能元件且发生换路,要产生过渡过程C. 因为换路时元件L的电流储能不发生变化,所以该电路不产生过渡过程。
11.(1分)两互感线圈同侧相并时,其等效电感量L同=()A. image26.pngB. image27.pngC. image28.png12.(1分)微变等效电路法适用于( )。
数字电子技术础实验指导书(第四版本)答案
数字电子技术基础实验指导书(第四版本)答案注:以下为数字电子技术基础实验指导书(第四版本)的答案部分,仅供参考。
实验一:数字逻辑门基础实验实验目的:通过本实验,学生能够掌握数字逻辑门电路的基本概念和实验操作技能。
同时,能够熟悉数字逻辑门的真值表、逻辑符号和逻辑运算。
实验要求:1.构建数字逻辑门电路的真值表。
2.使用逻辑门芯片构建数字逻辑电路。
3.测试电路的功能和逻辑正确性,并验证真值表的准确性。
实验步骤:1. 构建真值表A B AND OR NOT A XOR0000100101111001011111002. 搭建电路使用与门(AND),或门(OR),非门(NOT)和异或门(XOR)芯片进行电路搭建。
3. 验证电路功能使用开关模拟输入信号,通过LED灯模拟输出信号。
或使用数字逻辑分析仪验证电路的正确性。
实验结果分析与总结:通过本实验,我掌握了数字逻辑门电路的基本概念和操作技能。
尤其是熟悉了真值表的构建和逻辑电路的搭建方法。
在测试电路功能时,我通过使用开关和LED灯模拟输入和输出信号,验证了电路的正确性。
此外,我还学会了使用数字逻辑分析仪来验证电路的功能和准确性。
实验二:计数器电路设计实验实验目的:通过本实验,学生能够熟悉计数器电路的设计和实验操作技巧。
并能够了解计数器的工作原理和应用。
实验要求:1.设计并搭建二进制计数器电路。
2.使用开关模拟时钟信号输入,并使用LED灯显示计数结果。
3.观察计数器的计数过程并记录实验数据。
实验步骤:1. 设计计数器电路根据设计要求,设计二进制计数器电路的逻辑图。
2. 搭建电路根据设计电路的逻辑图,使用数字逻辑门芯片搭建计数器电路。
3. 测试电路功能使用开关模拟时钟信号输入,观察LED灯显示的计数过程。
实验结果分析与总结:通过本实验,我掌握了计数器电路的设计和实验操作技巧。
通过搭建二进制计数器电路,我成功实现了使用开关模拟输入时钟信号,并通过LED灯显示计数结果。
数电实验报告答案
数电实验报告答案数电实验报告答案引言:数电实验是电子信息工程专业的一门重要课程,通过实际操作和实验验证,帮助学生深入理解数字电路的原理和应用。
本文将以数电实验报告的形式,回答一些常见的问题和难点,帮助读者更好地理解和掌握数电实验知识。
实验一:逻辑门的基本应用1. 实验目的:本实验旨在通过实际搭建逻辑门电路,验证逻辑门的基本功能和应用。
2. 实验原理:逻辑门是数字电路中最基本的元件,常见的逻辑门有与门、或门、非门等。
与门的输出只有在所有输入都为高电平时才为高电平,否则为低电平;或门的输出只有在任意输入为高电平时才为高电平,否则为低电平;非门的输出与输入相反。
通过逻辑门的组合,可以实现各种复杂的逻辑运算。
3. 实验步骤:首先,我们需要准备逻辑门的实验器件,如与门、或门、非门等。
然后,按照实验电路图的要求,搭建相应的逻辑门电路。
接下来,连接电源,观察和记录逻辑门的输入和输出情况。
最后,根据实验结果,进行数据分析和实验结论的总结。
4. 实验结果:通过实验,我们得到了逻辑门的输入和输出数据,并进行了数据分析。
实验结果表明,逻辑门的功能和应用与理论预期一致,验证了逻辑门的基本原理和功能。
实验二:时序逻辑电路的设计与实现1. 实验目的:本实验旨在通过设计和实现时序逻辑电路,加深对时序逻辑电路的理解和应用。
2. 实验原理:时序逻辑电路是一种根据输入信号的变化和时序关系来控制输出信号的电路。
常见的时序逻辑电路有触发器、计数器等。
触发器是一种特殊的时序逻辑电路,可以存储和改变输出信号的状态。
计数器是一种能够按照一定规律进行计数的时序逻辑电路。
通过时序逻辑电路的设计和实现,可以实现各种复杂的时序控制功能。
3. 实验步骤:首先,我们需要了解时序逻辑电路的基本原理和设计方法。
然后,根据实验要求,设计相应的时序逻辑电路。
接下来,使用逻辑门和触发器等器件,搭建时序逻辑电路。
连接电源,观察和记录时序逻辑电路的输入和输出情况。
最后,根据实验结果,进行数据分析和实验结论的总结。
数字电路习题参考答案详解
第27页,共29页。
4.24 判断用下列函数构成的电路是否存在冒险?冒险的类型?
(1)F=AB+ABC
AC
F
00
0
01
0
10
B
1 1 B+B
0型冒险
(4)F=AB+AB
11 0 0 1 1
10 1 0 0 1
D1 D3 D7 D5
10
D
第25页,共29页。
(3)三变量多数表决电路。
AB
D0 D2 D6 D4
C 00 01 11
0 100 0 1 0
1 0 1 01 1
D1 D3 D7 D5
10
第26页,共29页。
4.23 用数据选择器组成的电路如图所示,求输出Y 的表达式。
又Si m1 m2 m4 m7 Ai BiCi1 Ai BiCi1 Ai BiCi1 Ai BiCi1
比较得: D0=D3= Ci-1 D1=D2= Ci-1
且 Ci m3 m5 Ai Bi Ai BiCi1 Ai BiCi1 Ai Bi
Y m0 D0 m1D1 m2 D2 m3D3
D0 B 0 0 1 1 0 1 1111
D1 1
D2 B D3 1
A C
B1
第22页,共29页。
(4)二变量异或表达式。
A
B
0
00
1 AB
1
11 0
D0=0
AB
D3=0
数字电路实验习题答案
数字电路习题答案(第一、二次实验)实验一:1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。
问若是“外触发”,是否也会影响信号波形的显示呢?解:这道题主要从以下几种情况来分析:A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示;B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。
C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。
当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。
2. 实验内容3中,如何用示波器观察CH1+CH2的波形?解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。
3. 简述用示波器测量TTL高、低电平的步骤。
解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。
4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?解:对于方波和三角波,交流毫伏表的指示值不是他们的有效值。
先由指示值除以1.11得到它们的平均值,然后根据平均值和有效值的关系求到有效值。
U方=U平,U三=1.15U平。
实验二1. 由实验结果总结以上各门电路的逻辑关系,画出真值表、逻辑图,写出表达式。
本科数字电子第1第2章实验答案
本章实验1.1 数字电路的认识实验一、实验目的初步认识数字电路,学会一些实验仪器、元器件的使用。
通过一个较为直观的实验,提高学生对课程的兴趣,明确本课程的学习目的。
二、实验设备和元器件电子实验箱,双踪示波器,集成电路:CD4511、CD4518, 数码管KSS-08123SR,电阻510×7,元器件手册。
三、实验技术和知识如图1-62 所示电路为一计数译码显示电路,计数器CD4518随着时钟脉冲的输入作加计数,通过CD4511译码驱动,数码管显示输入的时钟脉冲数目。
CD4518是双十进制同步计数器,它由两个相同的同步十进制计数器构成。
当EN为高电平时,在CP的上升沿进行加计数,CD4518的输出Q3Q2Q1Q0为0000~1001;当CP 为低电平时,在EN的下降沿进行加计数,Q3Q2Q1Q0为0000~1001。
CR为清零端,它为高电平时计数器清零。
CD4511是BCD-7段锁存译码驱动器,其中A3A2A1A0为二进制数据输入端,输出Y a、Y b、Y c、Y d、Y e、Y f、Y g分别与数码管2ES102的a、b、c、d、e、f、g,当LT为试灯输入,当LT=0时,Y a、Y b、Y c、Y d、Y e、Y f、Y g均为高电平,与之连接的数码管KSS-08123SR 显示数字“8”;BI为输出消隐控制端,当BI=0,LT=1时,输出Y a、Y b、Y c、Y d、Y e、Y f、Y g均为低电平,与之连接的数码管2ES102不显示任何数字;LE为数据锁定控制端,当LE=1,BI=1,LT=1时,输出保持原来的状态。
当LE=0,BI=1,LT=1时,数码管显示与A3A2A1A0二进制数据相对应的十进制数。
四、实验内容和步骤通过教师的演示,学生认识计数译码显示电路的功能、作用。
试组建该电路,并观察计数显示结果。
图1-62 计数译码显示电路五、实验报告内容要求实验目的,实验电路,整理和分析原始实验数据,绘出曲线或波形图,实验心得体会。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一、TTL测试
1.主要参数有哪些?测试参数的意义何在?
2.怎样测量与非门输出的高低电平?高低电平的取值X围?
3.测量Iil或Iolm时电流档不能用,怎么办?
4.在扇出系数测试电路中电位器和220欧电阻有什么用?为什么要使Uo=0.4V,此系数计算结果若为23.9,取多少?
二、组合逻辑电路
1、组合逻辑电路与时序逻辑电路的区别有哪些/?
2、设计组合逻辑电路的步骤。
3、设计半加器、全加器、比较器、点灯控制等逻辑电路。
三、译码器
1、什么是译码器?本实验用的74LS38和CC4511有什么区别?
2、怎样用138和74LS20设计全加器?步骤?
3、怎么用138设计反码器?
4、描述数码管种类、结构?
5、设计编码到译码显示的电路显示2014。
四、选择器
1、介绍四选一和八选一选择器的逻辑功能。
2、怎样用选择器实现逻辑函数或功能电路?
3、设计全加器或三人表决器。
五、触发器
1、画出用与非门构成基本QS触发器电路图。
2、叙述J-K触发器功能,填功能表。
3、描述T,T’触发器,CP-SQ脉冲关系。
六、计数器
1、怎样用D触发器构成四位数的二进制异步加法器、?讲解其工作原理,注意哪些事项?
2、讲述用74LS192构成二位十进制计数器电路。
3、用192构成任意进制计数器,讲解原理。
七、抢答器
1.讲述抢答器工作原理
2.锁存电路怎样锁存,主持人怎样控制清零和宣布抢答开始?
3.此实验原理电路存在哪些缺点和不足,怎样改进?
4.抢答器灵敏度与哪些因素有关?怎样分析影响。
八、数电常识
1、TTL逻辑门引脚规则。
2、TTL电源的X围
3、怎样使用集成块
4、数字电路故障原因通常有哪些?
5、边沿怎样产生的?能否用逻辑开关产生?
6、脉冲信号与函数波信号的区别?
7、TTL逻辑门输入端悬空相当于什么电平?
8、怎样由与非门变非门?
9、本学期数字电路接触了哪些集成块?
10、TTL集成电路使用规则?
数电实验答案
一、TTL测试:
1、主要参数有:(1)导通电源电流Iccl与截止电源电流Icch,它们的大小标志着与非门在静态情况下的功耗大小;
(2)低电平输入电流Iil与高电平输入电流Iih,它的大小关系到前级门的灌电流负载能力;
(3)扇出系数No,它的大小是指门电路能驱动同类门的个数;
(4)电压传输特性,通过电压传输特性可知道与非门的一些重要参数,如输出高电平,输出低电平,关门电平,开门电平,阀值电平及抗干扰容限等;
(5)平均传输延迟时间tpd,衡量电路开关速度。
2、通过逐点测试法测出电压传输特性读出与非门输出的高低电平,输出高电平大于等于2.4V,输出低电平小于等于0.4V。
3、通过测电压间接测电流
4、限流作用,因为输出低电平小于等于0.4V,23
二、组合逻辑电路:(P194)
1、时序逻辑电路具有记忆功能。
时序电路的特点是:输出不仅取决于当时的输入值,而且还与电路过去的状态有关,组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。
2、(1)根据设计任务的要求,建立输入输出变量,并列真值表
(2)用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并按实际选用逻辑门的类型,修改逻辑表达式
(3)根据逻辑表达式画出逻辑图
(4)用实验来验证设计的正确性
3、根据组合电路设计方法,首先列出半加器的真值表,见表
三、译码器:
1、译码器是将二进制翻译成输出端不同状态的元件;
74LS138有3个输入端(000~111)8种状态组合,8个输出端Y0`Y7只有一个输出端有效(低电平有效)
CC4511有4个输入端(0000~1111)16种组合状态,输出端7个高电平有效,有足够的电压4V可以驱动二极管发光,当二进制编码超过十进制的9时不能显示处于消隐状态,有防伪码功能。
2、
3、把使能端S作为数据输入,二进制编码所对应的输出端是反向关系。
4、
5、
四、数据选择器:(P205)
1、
当G=1时,电路不工作,无论输入什么,都输出为0
五、触发器1、
2、
3、
六、计数器:
七、抢答器:
八、数电常识:
1、左下角为1,逆时针排列。
2、5V左右,左右波动幅值的10%,4.5-5.5V.
3、在使用新的集成块时,需用力压使原来的八字形接近梯形;不能单手拔出,易弯使之损坏。
4、连接线内部断裂,接触不良;接线错误;设备问题
5、高低电平变化瞬间产生边沿,逻辑开关不能产生边沿现象(产生抖动)
低电平
6、
7、高电平
8、将与非门所有的输入端连接起来
9、3-8线译码器74LS38 七线译码驱动器CC4511
四选一数据选择器74LS153 八选一数据选择器74LS151
JK触发器74LS112 D触发器74LS74
十进制可逆计数器74LS192
抢答器74LS175
与非门74LS00 74LS10 74LS20
与门74LS08
或门74LS32 74LS86
或非门74LS02
10、接插集成块时,要认清定位标记,不得插反。