电压比较器实验报告

电压比较器实验报告
电压比较器实验报告

`实验报告

课程名称: 电路与电子技术实验指导老师: 成绩:

实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名:

一、实验目得二、实验内容

三、主要仪器设备?????四、实验数据记录、处理与分析

五、思考题及实验心得

一、实验目得

1.了解电压比较器与运算放大器得性能区别;

2.掌握电压比较器得结构及特点;

3.掌握电压比较器电压传输特性得测试方法;

4.学习比较器在电路设计中得应用。

二、实验内容及原理

实验内容

1。设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。

2。设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3。并绘制输出波形与电压传输特性曲线.

4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。

5。设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形与电压传输特性曲线.

6。设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin〈Vref2时,输出Vout=VOL;Vin<Vref1时,输出Vout=VOH。

实验原理

电压比较器(简称为比较器)就是对输入信号进行鉴幅与比较得集成器件,它可将模拟信号转换成二值信号,即只有高电平与低电平两种状态得离散信号。可用作模拟电路与数字电路得接口,也可用作波形产生与变换电路等。比较器瞧起来像就是开路结构中得运算放大器,但比较器与运算放大器在电气性能参数方面有许多不同之处。运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器得响应速度比运算放大器快,传输延迟时间比运算放大器小,而且不需外加限幅电路就可直接驱动TTL、CMOS等数字集成电路。但在要求不高情况下也可以考虑将某些运算放大器(例如:LM324、LM358、μA741、TL081、OP07、OP27等)当作比较器使用.常见得比较器电路有过零比较器、门限比较器、滞回比较器、窗口比较器与三态比较器等。常用得电压比较器有: LM339、LM393、LM311等.

比较器瞧起来像就是运算放大器得开环应用,运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器与运算放大器之间有许多明显得不同之处.因此只有在特殊得情况下,可将运算放大器当作比较器使用。

运算放大器就是一种为在负反馈条件下工作所设计得电子器件,其设计重点就是保证在负反馈条件下得稳定性,压摆率与最大带宽等.通常运算放大器得开环增益非常高,在开环情况下只能处理输入差分电压

非常小得信号。运算放大器得响应时间与比较器相比会慢得多.

比较器得输入为两路模拟信号,输出为二进制数字信号,当输入电压得差值增大或减小时,其输出保持恒定。有时也将比较器称为1位A/D转换器.

与运算放大器一样,比较器输入级也具有诸多特性,如失调电压、偏置电流以及共模电压范围。只有当其影响到开关点时,这些参数得值才会引起我们得关注。

A、集电极开路输出:集电极开路输出比较器使用时需要外接上拉电阻R_PLL,上拉电阻与逻辑电源Vs+相连,逻辑电源得电压值,决定了比较器得可输出电压值。采用集电极开路输出得比较器可与各种逻辑器件系列连接,并可实现线与逻辑。

B、集电极/发射极开路输出:集电极/发射极开路输出比较器使用时需要外接上拉或下拉电阻R_PLL。

C、漏极开路输出:漏极开路输出比较器使用时需要外接上拉电阻R_PLL,采用上拉电阻与逻辑电源V s+相连,逻辑电源得电压值,决定了比较器得可输出电压值.采用集电极开路输出得比较器可与各种逻辑器件系列连接,并可实现线与逻辑。

D、推挽式输出:推挽输出不需要外接上拉电阻器,其输出逻辑电平取决于比较器得电源电压.

三、主要仪器设备

集成运算电路实验板、通用运算放大器LM339、LM393、LM311、电阻电容等元器件、MS8200G型数字多用表;XJ4318型双踪示波器;XJ1631数字函数信号发生器;DF2172B型交流电压表;HY3003D—3型可调式直流稳压稳流电源.

四、实验数据记录、处理与分析

①【过零电压比较器电路】

过零电压比较器就是电压比较电路得基本结构,它可将交流信号转化为同频率得双极性矩形波。常用于测量正弦波得频率相位等。当输入电压时,输出;反之,当输入电压时,输出。

实验仿真:

实验记录:

②【基本单门限比较器电路】

单门限比较器得输入信号Vin 接比较器得同相输入端,反相输入端接参考电压Vref(门限电平) 。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

实验仿真

实验记录(由于实验室没有如仿真第一幅图得输入信号,故在实验时用正弦信号代替,并做仿真如上所示)

③【正基准电压得单电源比较器电路】

实验仿真

实验记录

上述三种电路都就是将基准电压连接至反相输入端,并将信号电压连接至同相输入端,利用两输入端子之间得差动输入电压动作,因此信号电压与基准电压即使任意互换,除了输出得动作会反相外,对电路并不会造成任何问题。

④【迟滞比较器电路】

迟滞比较器具有迟滞回线形状,两个门限电电压,分别称为上门限电压VTH 与下门限电压VTL ,两者差为门限宽度或迟滞宽度,即。

当迟滞比较器得同相输入端接输入电压,反相输入端接参考电压时,输入电压从低值达到超过上门限电压VTH时,比较器输出从低得VOL 到高得VOH 翻转,称为同相滞后比较器,或称为上行迟滞比较器;反之,反相输入端接输入电压,同相输入端接参考电压,称为反相滞后比较器,或称为下行迟滞比较器。

实验仿真(由于实验室未提供LM339芯片,故此实验只做仿真)

⑤【窗口比较器电路】

窗口比较器又称为双限比较器。窗口比较器得特点就是当输入信号单方向变化时,可使输出电压V out 跳变两次,即窗口比较器提供了两个阈值与两种输出稳定状态可用来判断Vin就是否处于上下两个门限电压之间。

实验仿真

⑥【方波发生电路】

由比较器可构成音频方波振荡器,改变电容器C1得电容量可改变输出方波得频率。

实验仿真

实验记录

五、思考题及实验心得

【实验心得】

(一)在做运放实验时,接线需要小心谨慎,特别就是对于偏置电压得接入,一定要判断清楚恒压源得正负极才能接入.否则一旦出现线路接错,很容易就会烧掉运放。

(二)对于集成运放基本运算电路实验,在做实验前先进行软件仿真了解其基本特性就是一个很好得方法.这样能够使得自己在自己动手做实验对于实验结果有一定得预期,不但可以提高做实验得效率,而且也就是减小实验失误得有效方法之一。

(三)三角波、方波发生器得产生可以由比较器+RC电路或者比较器+积分器(由积分器A1与滞回比较器A2等组成得三角波、方波发生器电路如上图所示。在一般使用情况下,与VΘ2都接地。只有在方波得占空比不为50%,或三角波得正负幅度不对称时,可通过改变与VΘ2得大小与方向加以调整。)来实现,具体采用哪种方式,应该具体问题具体分析,找到最适合得方法。用比较器+积分器方法时要注意对称调节点V+ 1与零位调节点VΘ2。

(四)实验前应检验电路元器件,包括就是否损坏,以及标称值与实际值得差异.我们不能轻易相信电阻得色环等标称值,有些元器件由于长时间放置,老化,或者本身就具有较大得误差,标称值与实际值有很大得差别,元器件得实际值在实验前均需重新测定,否则直接做实验很容易出现较大误差甚至错误,而且不利于实验矫正。

电压比较器实验报告

85 专业:电气工程卓越 人才 姓名:卢倚平 学号: ________ 验 … 一 二、实验内容 五、思考题及实验心得 一、实验目的 了解电压比较器与运算放大器的性能区别: 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率 的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压in< 输出out = 0L ;反之,当输入电压in N out 时,输出out = OH 。 实验仿真: 课程名称: 电路打电r 技术实於 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验同组 学生姓名: 邓江毅 三、主要仪器设备 四、实验数据记录、处理与分析 一、实验目的 2. 举握电压比较器的结构及特点; 3. 掌握电压比较器电圧传输特性的测试方法: 4. 学习比较器在电路设计中的应用。

不疲器?5(£C1I JS J 时同270.001ms 270.001 ms 0.000s JIf 「反向—] 通道 上 ?4.998 V -4.998 V 0.000 V 通道丿 -17.847V -17.847 V 0.000 V H as 12^1 时基_ 标度:10 msX)iv X轴位移(格):0 通ilA 刻度: 20 VQ2 Y轴位移 (格):0 通ilB ____ 刻度:5 VQiv Y轴位移 (榆:0 L保Q外触发 触发 边沿:SB 0回国] 水 平:0 ~ 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin接比较器的同相输入端,反相输入端接参考电 压Vref (门限电平)。当输入电压Vin>Vref 输出为高电平VOH:当输入电压Vin

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

2位二进制数据比较器实验报告

2位二进制数据比较器实验报告 一 实验目的? 1.熟悉Quartus II 软件的基本操作 2.学习使用Verilog HDL 进行设计输入 3.逐步掌握软件输入、编译、仿真的过程 二 实验说明? 输入信号 输出信号 A1 A0 B1 B0 EQ LG SM 0 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 0 1 1 0 0 0 1 1 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 1 1 0 1 0 1 0 1 1 1 0 0 1 0 1 1 1 1 1 逻辑表达式: 三 实验要求? 1、完成2位二进制数据比较器的Verilog HDL 程序代码输入并进行仿真 2、采用结构描述方式和数据流描述方式 3、完成对设计电路的仿真验证 A1 A0 EQ B1 comp_2 LG B0 SM 本次实验是要设计一个2位的二进制数据比较器。该电路应有两个数据输入端口A 、B ,每个端口的数据宽度为2 ,分别设为A0、A1和B0、B1、A0、B0为数据低位, 、B1为数据高位。电路的输出端口分别为EQ (A=B 的输出信号)、LG (A>B 时的输出信号)和SM (A

四、实验过程 1 程序代码 (1) module yangying(A,B,EQ,LG,SM); input [1:0]A,B; output EQ,LG,SM; assign EQ=(A==B)1'b1:1'b0; assign LG=(A>B)1'b1:1'b0; assign SM=(AB) begin EQ<=1'b1; LG<=1'b0; SM<=1'b0; end else begin EQ<=1'b0; LG<=1'b0; SM<=1'b1; end end endmodule 2 仿真结果 五、实验体会 通过2位二进制数据比较器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

电压比较器实验报告材料

`实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3.并绘制输出波形和电压传输特性曲线。

4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验 同组学生姓名: 邓江毅 一、实验目的 二、实验内容 三、主要仪器设备 四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ① 【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出;反之,当输入电压时,输 出 。 实验仿真: 专业:电气工程卓越人才 姓名: 卢倚平 学号: 3150101215 日期: 4.1 地点: 东3 404

85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref(门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

电压比较器实验报告

专业:电气工程卓越人 才 `实验报告 课程名称:电路与电子技术实验指导老师:周箭成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 邓江毅 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ①【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性 矩形波。常用于测量正弦波的频率相位等。当输入电压V in≤V out时,输出V out=V OL;

反之,当输入电压V in≥V out时,输出V out=V OH。 实验仿真: 85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref (门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

实验仿真 实测实验记录 (未接上拉电阻) (接了上拉电阻) (电压传输特性曲线) (改变比较电压Vref=2.52V) (改变边角电压Vref=-2.52V) (输入方波) (放大) 改变输入正弦波的频率进行测量: (输入正弦波20KHZ) (输入正弦波50Khz) (输入正弦波100KHZ) (输入正弦波500KHZ) 改用运放LM358: (输入正弦波1KHZ)

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验指导老师: 成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目得二、实验内容 三、主要仪器设备?????四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目得 1.了解电压比较器与运算放大器得性能区别; 2.掌握电压比较器得结构及特点; 3.掌握电压比较器电压传输特性得测试方法; 4.学习比较器在电路设计中得应用。 二、实验内容及原理 实验内容 1。设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 2。设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3。并绘制输出波形与电压传输特性曲线. 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形与电压传输特性曲线。 5。设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形与电压传输特性曲线. 6。设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin〈Vref2时,输出Vout=VOL;Vin<Vref1时,输出Vout=VOH。 实验原理 电压比较器(简称为比较器)就是对输入信号进行鉴幅与比较得集成器件,它可将模拟信号转换成二值信号,即只有高电平与低电平两种状态得离散信号。可用作模拟电路与数字电路得接口,也可用作波形产生与变换电路等。比较器瞧起来像就是开路结构中得运算放大器,但比较器与运算放大器在电气性能参数方面有许多不同之处。运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器得响应速度比运算放大器快,传输延迟时间比运算放大器小,而且不需外加限幅电路就可直接驱动TTL、CMOS等数字集成电路。但在要求不高情况下也可以考虑将某些运算放大器(例如:LM324、LM358、μA741、TL081、OP07、OP27等)当作比较器使用.常见得比较器电路有过零比较器、门限比较器、滞回比较器、窗口比较器与三态比较器等。常用得电压比较器有: LM339、LM393、LM311等. 比较器瞧起来像就是运算放大器得开环应用,运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器与运算放大器之间有许多明显得不同之处.因此只有在特殊得情况下,可将运算放大器当作比较器使用。 运算放大器就是一种为在负反馈条件下工作所设计得电子器件,其设计重点就是保证在负反馈条件下得稳定性,压摆率与最大带宽等.通常运算放大器得开环增益非常高,在开环情况下只能处理输入差分电压

电 压 比 较 器 实 验 报 告

电压比较器实验报告 09级等离子体系姓名:夏洋洋PB09203241谢新华PB09203247 一、实验题目: 电压比较器 二、实验目的: 1.掌握电压比较器的电路构成及工作原理; 2.掌握电压比较器参数的测量方法。 三、实验原理; 1.集成运算放大器的电压传输特性; 2.理想运放的主要指标:A0 o o i o id ou u u R R u u u ==→∞→∞→ i- – ,,。 i+ 3.当引入深度负反馈时,集成运放工作于线性放大状态; 4.集成运放工作在开环和正反馈状态时,输出电压只有高、低两种状态。 四、实验器材; 双运放TL082、GDP—3303D、DOS—x2014A、实验箱、示波器、信号发生器、连接线。 四、实验内容: 1.单限电压比较器 测试步骤、方法: a)电源电压Ec=±5V(由实验箱提供),参考电压uREF+=+1V(由GDP-3303D 直流稳压电源)。 b)输入信号ui(推荐:三角波):峰峰值upp=5V,频率=200Hz(ui由DSO-x 2014A示波器提供)。 c)用示波器1、2通道同时观测输入、输出电压波形。1通道观察输入电压 波形(作触发源),2通道观察输出电压波形。示波器水平时基归零和垂直位移归零。 d)用示波器X-Y模式测量电压传输特性曲线。 e)X-Y模式:按下水平(Horiz)→时基→X-Y f)绘制实验电路,输入、输出电压波形和电压传输特性曲线,标明输入、输 出电压幅值以及输出电压状态转换时u i的幅值。 2.滞回电压比较器 当uo=+ uom时, 12 1212 () om REF R R u u u u R R R R ∑∑+ + ==+ ++

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验 同组学生姓名: 邓江毅 一、实验目的 二、实验内容 三、主要仪器设备 四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ① 【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压V in ≤V out 时,输出V out =V OL ;反之,当输入电压V in ≥V out 时,输出V out =V OH 。 实验仿真: 专业:电气工程卓越人才 姓名: 卢倚平 学号: 15 日期: 地点: 东3 404

85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref(门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

4位数值比较器

X X大学课程设计 题目 4位数值比较器 学院 X学院 专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1 前言.................................................................. ................ .. (3) 1.1CMOS组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 24位数比较器 (7) 2.1 原理框图 (7) 2.2 逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.2 4位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

4位数值比较器

X X大学课程设计 题目4位数值比较器 学院X学院 专业XXX 班级XXX 学生XXX 学号200000000 指导教师XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1前言.................................................................. ................ .. (3) 1.1C M O S组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 2 4位数比较器 (7) 2.1 原理框图 (7) 2.2逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.24位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

数值比较器的定义及功能

数值比较器的定义及功能 在数字系统中,特别是在计算机中都具有运算功能,一种简单的运算就是比较两个数A和B的大小。数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。比较结果有A>B、A<B以及A=B三种情况。 1.1位数值比较器 1位数值比较器是多位比较器的基础。当A和B都是1位数时,它们只能取0或1两种值,由此可写出1位数值比较器的真值表: 由真值表得到如下逻辑表达式: 由以上逻辑表达式可画出如下图所示的逻辑电路。实际应用中,可根据具体情况选用逻辑门。 2.两位数值比较器 现在分析比较两位数字A1A0和B1B0的情况。 利用1位比较器的结果,可以列出简化的真值表如下:

为了减少符号的种类,不再使用字母L,而以(A i>B i)、(A i<B i)、(A i=B i)直接表示逻辑函数。可以由真值表对两位比较器作如下简要概述。 当高位(A1、B1)不相等时,无需比较低位(A0、B0),两个数的比较结果就是高位比较的结果。 当高位相等时,两数的比较结果由低位比较的结果决定。 由真值表可以写出如下逻辑表达式: 根据表达式画出逻辑图: 电路利用了1位数值比较器的输出作为中间结果。它所依据的原理是,如果两位数A1A0和B1B0的高位不相等,则高位比较结果就是两 数比较结果,与低位无关。这时,由于中间函数(A1=B1)=0,使与门G1、G2、G3均封锁,而或门都打开,低位比较结果不能影响或门 ,高位比较结果则从或门直接输出。如果高位相等,即(A1=B1)=1,使与门G1、G2、G3均打开,同时由(A1>B1)=0和(A1<B1)=0作用,或门也打开,低位的比较结果直接送达输出端,即低位的比较结果决定两数谁大、谁小或者相等。

电子技术实验报告—实验10集成运算放大器构成的电压比较器

电子技术实验报告 实验名称:集成运算放大器构成的电压比较器系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期:

目录 一、实验目的 (3) 二、实验原理 (3) 1. 集成运算放大器构成的单限电压比较器 (3) 2. 集成运算放大器构成的施密特电压比较器 (4) 三、实验仪器 (4) 四、实验内容 (5) 1. 单限电压比较器 (5) 2. 施密特电压比较器 (10) 五、实验小结与疑问 (13)

一、实验目的 1. 掌握电压比较器的模型及工作原理 2. 掌握电压比较器的应用 二、实验原理 电压比较器主要用于信号幅度检测——鉴幅器;根据输入信号幅度决定输出信号为高电平或低电平;或波形变换;将缓慢变化的输入信号转换为边沿陡峭的矩形波信号。常用的电压比较器为:单限电压比较器;施密特电压比较器窗口电压比较器;台阶电压比较器。下面以集成运放为例,说明构成各种电压比较器的原理。 1. 集成运算放大器构成的单限电压比较器 集成运算放大器构成的单限电压比较器电路如图1(a)所示。由于理想集成运放在开环应用时,A V→∞、R i→∞、R o→0;则当V iE R时,V O=V OL;由于输出与输入反相,故称之为反相单限电压比较器;通过改变E R值,即可改变转换电平V T(V T≈E R);当E R=0时,电路称为“过零比较器”。同理,将V i与E R对调连接,则电路为同相单限电压比较器。

2. 集成运算放大器构成的施密特电压比较器 集成运算放大器构成的施密特电压比较器电路如图2(a)所示。 当V O=V OH时,V+1=V T+=R2 R2+R3V OH+R3 R2+R3 E R;V T+称为上触发电平; 当V O=V OL时,V+2=V T?=R2 R2+R3V OL+R3 R2+R3 E R;V T-称为下触发电平; 回差电平:△V T=V T+?V T? 当V i从足够低往上升,若V i>V T+时,则V o由V OH翻转为V OL; 当V i从足够高往下降,若V i

四位数值比较器

EDA技术课程大作业 设计题目:四位数值比较器 院系:电子信息与电气工程系 学生姓 学号:200902070035 专业班级:09电子信息工程(升) 2010年12月9日

四位数值比较器 1. 设计背景和设计方案 1.1设计背景 在数字电路中,比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作,然后将比较的结果送到输出端口上。通常,比较器对两个输入数据进行比较可以得到三种基本的比较结果:大于、小于和等于。当然,比较器也可以得到不大于、不小于和不等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可。 1.2设计方案 四位数值比较器是数字电路中应用得最广泛的一种比较器。一般来说,四位数值比较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示。比较器含有两个4位位矢量输入端口A和B,3个比较结果输出端口GT、EQ、LT,以及3个级联输入端口I1、I2、I3。其中级联输入端口的作用是用来进行芯片的级联操作。通过这些端口可以对比较器的功能进行扩展。 图1-2-1 四位比较器实体模块 表1-2-1 四位比较器的真值表 2. 方案实施

2.1四位数值比较器的设计 1、设计思路文字描述 根据实体模块和真值表可知,设计两组四位数据输入端口,三个级联输入端口,三个数据比较结果输出端口。 2、程序 library ieee; use ieee.std_logic_1164.all; entity comparator is port(A0,A1,A2,A3: in std_logic; B0,B1,B2,B3: in std_logic; I1,I2,I3: in std_logic; GT,EQ,LT: out std_logic); end comparator; architecture one of comparator is signal A_tmp,B_tmp:std_logic_vector(3 downto 0); begin A_tmp <= A3&A2&A1&A0; B_tmp <= B3&B2&B1&B0; process(A_tmp,B_tmp,I1,I2,I3) begin if(A_tmp > B_tmp) then GT <='1'; EQ <='0'; LT <='0'; elsif(A_tmp < B_tmp) then GT <='0'; EQ <='0'; LT <='1'; elsif(A_tmp = B_tmp) then if(I2='1') then GT <='0'; EQ <='1'; LT <='0'; elsif(I1='1') then GT <='1'; EQ <='0'; LT <='0';

相关文档
最新文档