数字跑表设计

合集下载

数字跑表设计报告

数字跑表设计报告

电子技术综合实验报告数字跑表学院:物理电子学院学号:2904204018姓名:黄峥一系统总体设计设计要求设计一个数字秒表,有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,系统主要由显示译码器、分频器、十进制计数器和六进制计数器组成。

整个秒表还需有一个启动/停止信号和一个复位信号,以便秒表能随意停止及启动。

要求:1、跑表精度为0.01秒2、跑表计时范围为:1小时3、设置开始计时/停止计时、复位两个按钮4、显示工作方式:用六位BCD七段数码管显示读数系统工作原理数字跑表通过系统将48MHz时钟进行分频得到100Hz的秒表时钟,之后通过对时钟信号进行计数得到具体的跑表显示数值,跑表数值作为显示单元电路的输入,显示单元控制数码管动态扫描显示计数因此,系统主要划分为:分频器,计数器,显示控制,开始\停在使能控制,清零控制系统结构图如下二单元电路设计1 分频器设计思路:输入信号为48MHz时钟信号,若每480000次脉冲输入,产生1次输出,即可实现100Hz分频。

同理1KHz。

1.1 分频器1:将48MHz时钟信号分频为100Hz,产生0.01秒时钟信号源程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity div isPort ( clk : in std_logic;count : buffer std_logic);end div;architecture Behavioral of div issignal cnt: integer range 1 to 240000:=1;beginprocess(clk)beginif clk'event and clk = '1' thenif cnt = 240000 thencnt <=1;count<= not count;elsecnt<= cnt+1;end if;end if;end process;end Behavioral;1.2 分频器2:将48MHz时钟信号分频为1KHz接时间扫描模块源程序:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity div1 isPort ( clk : in std_logic;count : buffer std_logic);end div1;architecture Behavioral of div1 issignal cnt: integer range 1 to 24000:=1;beginprocess(clk)beginif clk'event and clk = '1' thenif cnt = 24000 thencnt <=1;count<= not count;elsecnt<= cnt+1;end if;end if;end process;end Behavioral;2 计数器由于数字跑表需要6进制和10进制两类计数器,因此需要两个单元电路分别对6进制和10进制进行计数,二者原理相同,原件图如下.2.1 十进制计数器程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity count isport(clr,start,clk: in std_logic;cout: out std_logic;daout: out std_logic_vector(3 downto 0));end count;architecture Behavioral of count issignal temp: STD_LOGIC_VECTOR (3 downto 0):="0000";beginprocess(clk,clr)beginif clr='1' thentemp<="0000";cout<='0';elsif (clk'event and clk='1') thenif start='1' thenif temp>="1001" thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';end if;end if;end if;daout<=temp;end process;end Behavioral;仿真结果如下2.2 六进制计数器library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity count isport(clr,start,clk: in std_logic;cout: out std_logic;daout: out std_logic_vector(3 downto 0)); end count;architecture Behavioral of count issignal temp: STD_LOGIC_VECTOR (3 downto 0):="0000"; beginprocess(clk,clr)beginif clr='1' thentemp<="0000";cout<='0';elsif (clk'event and clk='1') thenif start='1' thenif temp>="0101" thentemp<="0000";cout<='1';elsetemp<=temp+1;cout<='0';end if;end if;end if;daout<=temp;end process;end Behavioral;仿真结果如下3 显示控制显示控制电路根据输入的时钟信号对输入的数据信号进行选择输出,同时输出位选信号控制数码管的动态信号。

数字跑表设计eda课程设计

数字跑表设计eda课程设计

数字跑表设计eda课程设计摘要:一、引言1.课程背景介绍2.数字跑表设计意义二、数字跑表设计原理1.跑表系统架构2.数字跑表核心模块三、EDA工具介绍1.EDA工具的作用2.EDA工具的选择四、数字跑表设计流程1.设计输入2.设计仿真3.物理布局4.物理验证5.结果分析与优化五、数字跑表设计实践1.设计参数设定2.使用EDA工具进行设计3.设计验证与优化六、数字跑表设计成果与应用1.设计成果展示2.设计实用性分析3.设计前景展望七、总结与展望1.课程学习总结2.数字跑表设计发展趋势正文:一、引言随着科技的飞速发展,电子设计自动化(EDA)技术在电子设计领域中的应用越来越广泛。

数字跑表作为一种实用且具有较高技术含量的电子设备,其设计过程离不开EDA技术的支持。

本课程设计旨在让学生掌握数字跑表的设计方法,熟悉EDA工具的使用,提高实际动手能力和创新能力。

二、数字跑表设计原理数字跑表设计主要包括系统架构设计和核心模块设计两部分。

系统架构设计要求明确整个跑表的组成,包括时钟模块、计数模块、显示模块、控制模块等。

核心模块设计则是针对各个功能模块提出具体的实现方案,如采用何种器件、电路拓扑结构等。

三、EDA工具介绍EDA工具在数字跑表设计过程中发挥着至关重要的作用。

它能帮助设计师快速完成电路设计、仿真、验证及优化等任务。

常见的EDA工具包括原理图编辑器、布图布线工具、仿真器、时序分析工具等。

本课程将使用某款EDA工具进行数字跑表的设计。

四、数字跑表设计流程1.设计输入:根据数字跑表的功能需求,编写设计说明书,明确各个模块的功能、性能参数及接口关系。

2.设计仿真:利用EDA工具进行电路仿真,验证电路的正确性。

3.物理布局:根据电路原理图,进行物理布局设计,考虑器件摆放、连线走向等因素。

4.物理验证:对物理布局进行验证,确保电路符合制程要求。

5.结果分析与优化:分析仿真结果,找出存在的问题,对设计进行优化。

五、数字跑表设计实践1.设计参数设定:根据数字跑表的实际需求,设定各项性能参数,如时钟频率、计数范围等。

数字跑表课程设计研究内容

数字跑表课程设计研究内容

数字跑表课程设计研究内容一、课程目标知识目标:1. 学生能理解数字跑表的基本概念,掌握其计时原理和操作方法;2. 学生能运用所学知识,分析并解释数字跑表在实际运动中的应用;3. 学生了解数字跑表在体育赛事中的重要作用,掌握相关赛事规则。

技能目标:1. 学生能够熟练操作数字跑表,进行简单的计时和数据处理;2. 学生通过实际操作,培养观察、分析、解决问题的能力;3. 学生学会运用数字跑表进行运动训练,提高运动效果。

情感态度价值观目标:1. 学生培养对体育运动的兴趣,增强运动参与的积极性和主动性;2. 学生通过数字跑表的学习,认识到科技在体育领域的重要作用,增强科技创新意识;3. 学生在学习过程中,培养团队合作精神和公平竞争意识,树立正确的价值观。

课程性质:本课程为实践性较强的学科课程,结合理论知识与实际操作,注重培养学生的动手能力和实际应用能力。

学生特点:学生处于好奇心强、求知欲旺盛的年级,具有一定的逻辑思维能力和动手能力,但需加强对体育运动和科技知识的了解。

教学要求:教师应结合学生特点,采用启发式教学,引导学生主动探究数字跑表的知识,注重培养学生的实际操作能力和运动素养。

同时,关注学生的情感态度价值观培养,使学生在学习过程中形成正确的价值观。

通过分解课程目标为具体学习成果,为后续教学设计和评估提供依据。

二、教学内容1. 数字跑表基础知识:- 数字跑表的定义与功能;- 计时原理与计时方法;- 数字跑表在体育赛事中的应用。

2. 数字跑表操作与使用:- 数字跑表的结构与按键功能;- 数字跑表的操作流程;- 实际操作练习与问题解决。

3. 数字跑表在运动训练中的应用:- 运动训练中的计时需求;- 数字跑表在跑步、游泳等运动项目中的使用;- 提高运动训练效果的方法与策略。

4. 体育赛事规则与数字跑表:- 常见体育赛事的规则要点;- 数字跑表在赛事中的重要作用;- 赛事现场操作注意事项。

教学大纲安排:第一课时:数字跑表基础知识学习;第二课时:数字跑表操作与使用;第三课时:数字跑表在运动训练中的应用;第四课时:体育赛事规则与数字跑表。

eda数字跑表课程设计

eda数字跑表课程设计

eda数字跑表课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握数字跑表的工作原理;2. 学生能掌握数字跑表设计中所涉及的电子元件、电路图及编程知识;3. 学生能了解数字跑表在实际应用中的功能与作用。

技能目标:1. 学生能运用所学知识,设计并搭建简单的数字跑表电路;2. 学生能通过编程实现对数字跑表的调试与优化;3. 学生能运用团队协作、问题解决和创新能力,完成数字跑表的设计与制作。

情感态度价值观目标:1. 学生培养对电子科技的兴趣和热情,增强实践操作的信心;2. 学生培养团队协作精神,提高沟通与表达能力;3. 学生认识到科技对社会发展的作用,树立创新意识,增强社会责任感。

课程性质:本课程为实践性、综合性课程,结合理论知识与实际操作,培养学生的动手能力、创新能力和团队合作能力。

学生特点:六年级学生具有一定的电子知识基础和编程能力,对新鲜事物充满好奇心,善于合作与探究。

教学要求:教师需引导学生掌握EDA数字跑表的基本知识,注重实践操作,鼓励学生创新与思考,提高学生的问题解决能力。

在教学过程中,关注学生的个体差异,给予个性化指导,确保课程目标的达成。

通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高综合素质。

二、教学内容1. 电子设计自动化(EDA)基础理论:- EDA概念及其发展历程;- 数字跑表的基本原理与功能。

2. 数字跑表电路设计:- 常用电子元件的特性与选型;- 电路图绘制及仿真;- 数字跑表电路搭建与调试。

3. 编程与控制:- 编程环境及编程语言介绍;- 数字跑表程序设计;- 程序调试与优化。

4. 实践操作与团队协作:- 分组进行数字跑表设计与制作;- 团队合作、问题解决与创新能力培养;- 实践成果展示与评价。

教材章节关联:本教学内容与教材中“电子设计自动化”、“数字电路设计”和“编程控制”等章节相关。

具体内容包括:- 电子设计自动化:第1章;- 数字电路设计:第3章;- 编程控制:第5章。

基于fpgh数字跑表课程设计

基于fpgh数字跑表课程设计

基于fpgh数字跑表课程设计一、教学目标本课程旨在通过fpgh数字跑表的学习,让学生掌握数字跑表的基本原理、使用方法及其在实际中的应用。

具体的教学目标如下:1.了解数字跑表的基本原理。

2.掌握数字跑表的使用方法。

3.掌握数字跑表在实际中的应用。

4.能够独立操作数字跑表进行测量。

5.能够根据实际需要选择合适的数字跑表。

6.能够对数字跑表进行简单的故障排查和维护。

情感态度价值观目标:1.培养学生对科学技术的兴趣和好奇心。

2.培养学生勇于实践、积极探究的科学精神。

3.培养学生珍惜时间、高效利用时间的意识。

二、教学内容本课程的教学内容主要包括以下几个部分:1.数字跑表的基本原理:介绍数字跑表的工作原理、组成部分及其功能。

2.数字跑表的使用方法:讲解如何正确操作数字跑表进行测量,包括基本操作和注意事项。

3.数字跑表在实际中的应用:举例说明数字跑表在各个领域的实际应用,如运动、交通、工程等。

4.数字跑表的维护与故障排查:介绍数字跑表的日常维护方法及故障排查技巧。

三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学。

具体包括:1.讲授法:讲解数字跑表的基本原理、使用方法及其应用。

2.讨论法:学生针对数字跑表的实际应用展开讨论,分享彼此的经验和心得。

3.案例分析法:通过分析具体案例,使学生更好地理解数字跑表在实际中的应用。

4.实验法:安排实验室实践环节,让学生亲自动手操作数字跑表,提高操作技能。

四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:选用权威、实用的数字跑表教材,为学生提供系统的理论知识。

2.参考书:提供相关的参考书籍,丰富学生的知识体系。

3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣。

4.实验设备:准备充足的数字跑表设备,确保每个学生都能动手操作。

5.网络资源:利用网络资源,为学生提供更多的学习资料和实践案例。

五、教学评估为了全面、客观地评估学生在fpgh数字跑表课程中的学习成果,我们将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与度、提问回答等情况,评估其对课程内容的理解和掌握程度。

数字跑表实验设计

数字跑表实验设计

数字跑表 设计报告设计任务:在掌握了VHDL 硬件编程语言和了解了基本的FPGA 设计步骤后,设计出一个符合设计指标的数字跑表,并下载到实验板上通过验证。

设计指标:1、跑表精度为0.01秒2、跑表计时范围为:1小时3、设置开始计时/停止计时、复位两个按钮4、显示工作方式:用六位BCD 七段数码管显示读数。

显示格式:设计步骤:(1) 设计出符合设计要求的解决方案。

(2) 设计出单元电路。

(3) 利用EDA 软件对各单元电路及整体电路进行仿真。

(4) 利用EDA 软件在ELB 电子课程设计实验箱上实现设计。

(5) 撰写设计报告。

设计思路:首先,分析一个完整的数字跑表的具有哪些功能:1、计时功能 2、相应的控制按钮 3、显示计数结果的功能模块;接下来就开始考虑如何应用电路实现上述的三个模块: 1、计时模块应包括稳定、准确的时钟输入和计数模块,考虑到设计指标要求跑表精度为0.01秒,那么计数脉冲的时钟输入就应该是频率为100HZ 的脉冲,而实验板上提供的晶振是32MHZ ,所以先要设计一个320000分频器,分频器的输出才可作计数器的输入;其次计数模块设计应综合考虑跑表的计时范围(1小时)和显示输出(6位输出),6位输出中有两位是六进制输出,其余四位是十进制输出,所以可通过设计4个模10计数器和2个模6分 秒 0.01秒计数器来实现,其中较低位的进位输出就是高位的计数输入端。

2、根据设计指标的要求,控制模块应包括开始计时/停止计时、复位两个按钮,即电路设计经常用到的史能端和清零端,这两个控制端口直接接到计数器的清零和史能端即可实现、复位、开始计时/停止计时;但是外围史能输入需要经过史能转换电路后,才可变为计数器可用的史能控制信号。

3、显示计数结果的模块实现较为简单,只需将六位计数结果通过七段译码电路接到输出即可点亮数码管,无需时序控制,直接用组合逻辑电路就可以实现。

数码管显示可以采用扫描显示,用一个频率1KHz的信号扫描一个多路选择器,实现对六位已经锁存的计数结果的扫描输出。

数字跑表设计

数字跑表设计

学号:课程设计题目数字跑表设计学院自动化学院专业班级姓名指导教师年月日课程设计任务书学生姓名:专业班级:指导教师:工作单位:题目: 数字跑表设计初始条件:1.运用所学的模拟电路和数字电路等知识;2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片或微处理器等。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1.设计一个具有、‘分’、‘秒’、‘1/100秒’的十进制数字显示的计时器。

2.要有外部开关,控制计数器的直接清零、启动和暂停/连续计时功能;3.严格按照课程设计说明书要求撰写课程设计说明书。

时间安排:第1天下达课程设计任务书,根据任务书查找资料;第2~4天进行方案论证,软件模拟仿真并确定设计方案;第5天提交电路图,经审查后领取元器件;第6~8天组装电路并调试,检查错误并提出问题;第9~11天结果分析整理,撰写课程设计报告,验收调试结果;第12~14天补充完成课程设计报告和答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录引言 (1)1设计意义及要求 (2)1.1设计意义 (2)1.2设计要求 (2)2方案设计 (3)2.1设计思路 (3)2.2 方案设计 (4)2.2.1设计方案一(个人方案)电路图 (4)2.2.2设计方案二(小组方案)电路图简单说明 (5)2.3方案比较 (6)3部分电路设计 (7)3.1计数单元 (7)3.2开始和暂停单元 (11)3.3清零功能单元 (12)3.4脉冲输出电路 (14)3.5译码及显示电路 (15)4调试与检测 (18)4.1调试中故障及解决办法 (18)4.2 调试与运行结果 (18)5仿真操作步骤及使用说明 (19)结束语 (20)参考文献 (21)附录1 (22)附录2 (23)本科生课程设计成绩评定表......................... 错误!未定义书签。

引言过去的三个世纪,我们经历了第一次工业革命,人类开始进入蒸气时代,第二次工业革命,人类开始进入电气时代并在信息革命资讯革命中达到顶峰。

课程设计数字跑表

课程设计数字跑表

课程设计数字跑表一、教学目标本课程的教学目标是使学生掌握数字跑表的基本原理和操作方法,培养学生对数字技术的兴趣和好奇心,提高学生的实践能力和创新精神。

具体来说,知识目标包括了解数字跑表的定义、结构和功能,理解数字跑表的工作原理;技能目标包括学会使用数字跑表进行计时和计数,能够进行简单的故障排除和维护;情感态度价值观目标包括培养学生对数字技术的热爱和责任感,增强学生的团队合作意识和沟通能力。

二、教学内容本课程的教学内容主要包括数字跑表的基本原理、操作方法和应用实践。

具体包括以下几个方面:1. 数字跑表的定义和分类;2. 数字跑表的结构和功能;3. 数字跑表的工作原理;4. 数字跑表的操作方法和使用注意事项;5. 数字跑表的应用实践和案例分析。

三、教学方法为了实现教学目标,本课程将采用多种教学方法相结合的方式进行教学。

包括:1. 讲授法:通过教师的讲解,使学生了解数字跑表的基本原理和操作方法;2. 讨论法:通过小组讨论,培养学生的思考能力和团队合作意识;3. 案例分析法:通过分析实际案例,使学生掌握数字跑表的应用实践;4. 实验法:通过动手实验,培养学生的实践能力和创新精神。

四、教学资源为了支持教学内容和教学方法的实施,本课程将准备以下教学资源:1. 教材:选用权威、实用的教材,为学生提供系统的学习材料;2. 参考书:提供相关的参考书籍,丰富学生的知识体系;3. 多媒体资料:制作课件、视频等多媒体资料,提高学生的学习兴趣和效果;4. 实验设备:准备数字跑表等相关实验设备,为学生提供实践操作的机会。

五、教学评估本课程的评估方式将包括平时表现、作业和考试三个部分,以全面、客观、公正地评估学生的学习成果。

平时表现将根据学生在课堂上的参与度、提问和回答问题的表现等进行评估;作业将根据学生的完成情况和质量进行评估;考试将根据学生的答题情况和得分进行评估。

评估结果将及时反馈给学生,以帮助学生了解自己的学习情况,提高学习效果。

数字跑表模块设计

数字跑表模块设计

显示内容
可显示当前时间、已用时间、剩余时间、距离、 速度等比赛和训练数据。
显示亮度与对比度
具备可调节的显示亮度与对比度,以适应不同光线环境。
控制模块设计
控制方式
采用微控制器进行系统控制,实现各种功能的逻辑处理。
数据处理
对采集的数据进行实时处理,确保计时和显示的准确性。
人机交互
通过按键或触摸屏实现人机交互,方便用户进行功能设置和操作。
数字跑表的重要性
提高训练效果
通过精确记录运动数据,数字跑 表可以帮助运动员了解自己的训 练状态,制定合理的训练计划, 提高训练效果。
比赛成绩记录
在比赛中,数字跑表可以精确记 录运动员的成绩,保证比赛的公 平性和准确性。
健康监测
数字跑表还可以监测运动者的心 率、步数等健康数据,帮助运动 者了解自己的身体状况,预防运 动损伤。
低功耗设计技术
节能模式
支持低功耗模式,在非 使用状态下自动进入节 能状态。
硬件优化
采用低功耗硬件设计和 电路优化,降低功耗。
电源管理
采用智能电源管理系统, 根据需求合理分配电源。
可靠性设计技术
故障检测与恢复
具备故障检测和恢复机制,确保在异常情况 下仍能保持正常运行。
防抖动设计
防止因按键或触摸产生的抖动对计时精度的 影响。
采用先进的计时算法,如分段计时法,以提高计 时精度。
校准与同步
定期校准和与标准时钟同步,以保持计时准确性。
人机交互技术
1 2
显示界面
提供清晰、易读的显示界面,包括计时、计圈、 计分等功能。
输入方式
支持多种输入方式,如触摸屏、按键等,方便用 户操作。
3
语音提示

课程设计数字跑表

课程设计数字跑表

一、设计题目及要求设计题目:数字跑表要求:1 具有暂停,启动功能;2 具有重新开始功能;3 用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容总体设计:第一,对于要实现的暂停、启动和重新开始功能,需要有一个控制模块完成相关控制。

第二由题意可知需要一个分频模块,将实验箱提供的频率转换为100HZ即数字跑表百分秒的频率。

第三是计时模块,完成跑表的百分秒、秒和分钟的计时功能。

第四由于实验箱提供的数码显示是扫描显示,这就需要一个选时模块。

第五部分则是显示模块。

详细设计过程:根据要求,将设计分成五个模块:1、控制模块:使跑表具有启动、暂停及重新开始的功能;2、分频模块:将实验箱所提供的频率转换为设计题目所需要的100HZ 的时钟脉冲;3、计时模块:进行百分秒、秒、分的计时,并且将当前时间输出给选时模块;4、选时模块:从计时器得到当前时间输出给显示模块;5、显示模块:通过数码管显示时间。

总图如下:仿真波形:第一个模块:控制模块控制模块主要运用了两个D触发器,输入到触发器的时钟信号CLK1频率为2.86Hz,对电路起到了防抖的功能。

START/STOP为启动\暂停按钮,当跑表为START状态时CLK端为高电平,Q为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,Q为0,时钟信号不输出,从而实现开始和暂停的功能。

与门可控制时钟信号是否被输出到下一级。

RESET端为全局清零按钮,接到控制模块和计时模块的清零端,负责将计数器清零。

当RESET为低电平时,控制模块和总计数器模块清零,跑表重新开始工作。

电路图如下:仿真波形:第二个模块:分频模块为了将实验箱提供的1465HZ转换成实验需要的100HZ,我将74161接成15进制计数器,实现分频的功能,转换为100HZ的近似时钟信号。

然后将输出的时钟接入到计时模块。

电路图如下:仿真波形:第三个模块:计时模块计时模块由一个100进制计数器和两个60进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。

数字跑表设计

数字跑表设计

学号:课程设计题目数字跑表设计学院自动化学院专业班级姓名指导教师年月日... .课程设计任务书学生:专业班级:指导教师:工作单位:题目: 数字跑表设计初始条件:1.运用所学的模拟电路和数字电路等知识;2.用到的元件:实验板、电源、连接导线、74系列芯片、555芯片或微处理器等。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1.设计一个具有、‘分’、‘秒’、‘1/100秒’的十进制数字显示的计时器。

2.要有外部开关,控制计数器的直接清零、启动和暂停/连续计时功能;3.严格按照课程设计说明书要求撰写课程设计说明书。

时间安排:第1天下达课程设计任务书,根据任务书查找资料;第2~4天进行方案论证,软件模拟仿真并确定设计方案;第5天提交电路图,经审查后领取元器件;第6~8天组装电路并调试,检查错误并提出问题;第9~11天结果分析整理,撰写课程设计报告,验收调试结果;第12~14天补充完成课程设计报告和答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日..目录引言 (1)1设计意义及要求 (2)1.1设计意义 (2)1.2设计要求 (2)2方案设计 (3)2.1设计思路 (3)2.2 方案设计 (4)2.2.1设计方案一(个人方案)电路图 (4)2.2.2设计方案二(小组方案)电路图简单说明 (5)2.3方案比较 (7)3部分电路设计 (8)3.1计数单元 (8)3.2开始和暂停单元 (13)3.3清零功能单元 (14)3.4脉冲输出电路 (16)3.5译码及显示电路 (17)4调试与检测 (20)4.1调试中故障及解决办法 (20)4.2 调试与运行结果 (21)5仿真操作步骤及使用说明 (21)结束语 (22)参考文献 (23)附录1 (24)..附录2 (26)本科生课程设计成绩评定表......................... 错误!未定义书签。

..引言过去的三个世纪,我们经历了第一次工业革命,人类开始进入蒸气时代,第二次工业革命,人类开始进入电气时代并在信息革命资讯革命中达到顶峰。

数字跑表设计eda课程设计

数字跑表设计eda课程设计

数字跑表设计eda课程设计
数字跑表设计EDA课程设计涉及以下方面:
1. 数字跑表的功能设计:确定数字跑表需要具备的基本功能,比如显示跑步时间、距离和速度等数据,同时可以设置跑步目标、记录跑步历史等。

2. 界面设计:设置数字跑表的界面布局,包括显示屏、按钮和操作界面等,保证用户操作的便捷性和信息的直观性。

3. 系统架构设计:确定数字跑表的硬件和软件架构,包括选择合适的处理器、存储器和显示设备等,同时设计相应的实时操作系统和驱动程序,使得运行速度和稳定性达到要求。

4. 电路设计:设计数字跑表的电路图,包括电源管理电路、时钟电路、数据采集电路等,确保数字跑表的正常工作。

5. 数据采集与处理:设计合适的传感器,比如加速度传感器和GPS模块等,用于采集跑步的相关数据,并通过算法对数据进行处理,得到准确的跑步时间、距离和速度等信息。

6. 功能测试与验证:对设计的数字跑表进行功能测试和性能验证,确保数字跑表的稳定性和准确性,同时对可能出现的问题进行改进和优化。

7. 用户体验设计:通过调研和用户反馈,不断改进数字跑表的用户体验,包括界面交互、操作流程和功能设置等,提高用户的满意度。

8. 产品生产与推广:根据设计的数字跑表进行产业化生产,并进行推广和营销,使得数字跑表能够被广泛使用和接受。

数字跑表设计eda课程设计

数字跑表设计eda课程设计

数字跑表设计eda课程设计【原创版】目录1.数字跑表设计概述2.EDA 课程设计的目的和意义3.数字跑表的主要功能和特点4.数字跑表的设计流程5.EDA 课程设计对数字跑表设计的影响和应用正文数字跑表设计是电子设计自动化 (EDA) 课程设计中的一个重要项目,目的是让学生通过实践了解和掌握数字电路的设计方法和技巧。

数字跑表是一种能够记录跑步时间和距离的电子设备,主要功能是计时和计数,同时还具备闹钟、计时器等附加功能。

数字跑表的设计流程主要包括需求分析、系统设计、电路设计、PCB 设计、测试和调试等步骤。

在 EDA 课程设计中,学生需要使用 EDA 软件来完成数字跑表的设计。

EDA 软件能够提供可视化的电路设计和仿真环境,学生可以通过绘制原理图、PCB 图等来实现数字跑表的设计。

同时,EDA 软件还能够提供多种工具和函数库,帮助学生快速实现数字跑表的各种功能。

数字跑表的主要功能是计时和计数。

计时功能是指数字跑表能够准确地记录跑步时间,同时能够在跑步过程中实时显示跑步速度和距离。

计数功能是指数字跑表能够记录跑步的圈数和步数等信息,以便用户了解自己的跑步情况。

数字跑表还具备闹钟、计时器等附加功能,方便用户进行更多的运动训练。

EDA 课程设计对数字跑表设计具有重要的影响和应用。

通过 EDA 课程设计,学生能够学习和掌握数字电路的设计方法和技巧,提高数字电路设计和实现的能力。

同时,EDA 软件提供的可视化设计和仿真环境,能够帮助学生更好地理解数字跑表的工作原理和电路结构,加快数字跑表的设计和测试进度。

数字跑表设计是 EDA 课程设计中的一个重要项目,能够帮助学生学习和掌握数字电路的设计方法和技巧。

数字跑表的主要功能是计时和计数,同时还具备闹钟、计时器等附加功能。

数字跑表课程设计

数字跑表课程设计

数字跑表课程设计数字跑表是计算机科学中的一个经典问题,它是一个倒计时程序,用于计算比赛或活动的时间。

以下是数字跑表课程设计的一个示例:1.教学目标(1)理解数字跑表的原理和倒计时算法;(2)掌握使用C语言实现数字跑表的方法;(3)能够根据实际需求,自定义数字跑表的计时长度和精度。

2.教学内容(1)数字跑表的原理和倒计时算法介绍数字跑表的原理和倒计时算法,包括计时器的初始化、时间的显示和更新等。

(2)使用C语言实现数字跑表通过C语言实现数字跑表,使用循环结构控制倒计时过程,使用数组存储数字字符,使用printf函数将数字字符输出到控制台。

(3)自定义数字跑表的计时长度和精度通过编写自定义函数,实现计时长度的自定义和精度的控制,使用float类型存储时间,并实现倒计时过程中的时间转换。

3.教学方法(1)讲解数字跑表的原理和算法;(2)演示使用C语言实现数字跑表的过程;(3)通过实例演示自定义数字跑表的计时长度和精度的过程。

4.课程实施(1)介绍数字跑表的原理和倒计时算法,包括计时器的初始化、时间的显示和更新等;(2)使用C语言实现数字跑表,包括循环结构、数组、printf函数等;(3)自定义数字跑表的计时长度和精度,包括float类型、时间转换等。

5.课程评估(1)检查程序的运行结果;(2)检查自定义数字跑表的计时长度和精度是否符合要求;(3)通过实际应用场景的测试,评估程序的稳定性和可靠性。

6.课程反思(1)总结数字跑表课程设计的经验和教训;(2)总结学生对于数字跑表课程设计的理解和掌握程度;(3)总结课程设计中存在的问题和改进措施。

通过数字跑表课程设计,学生可以深入理解计算机科学中的计时原理和倒计时算法,掌握使用C语言实现数字跑表的方法,并能够根据实际需求自定义数字跑表的计时长度和精度。

同时,学生还可以学习到如何测试程序的稳定性和可靠性,提高其编程能力和编程素养。

在课程设计中,学生也可以通过反思自己的经验和教训,不断改进自己的编程方法和编程思路,提高自己的编程能力和编程水平。

数字跑表设计

数字跑表设计

西南科技大学设计报告课程名称:基于FPGA的现代数字系统设计设计名称:基于原理图的数字跑表设计姓名:学号:班级:指导教师:西南科技大学信息工程学院一、实验目的1、设计一个数字跑表,具有复位、暂停、秒表等功能二、实验原理1.完成一个具有数显输出的数字跑表计数器设计,原理图如下图所示。

、数字跑表计数器原理图任务分析:输入端口:1)复位信号CLR,当CLR=1,输出全部置0,当CLR=0,系统正常工作。

2)暂停信号PAUSE,当PAUSE=1,暂停计数,当PAUSE=0,正常计数。

3)系统时钟CLK,CLK=50MHz输出端口:数码管驱动----DATA1,位宽14位,其中,DATA1[7:0]是数码管显示值,DATA1[14:8]是数码管控制端口屏蔽未用端口---ctr,位宽是2,将未用的两个数码管显示关闭(1)跑表的计时范围为0.01s~59min59.99s,计时精度为10ms;(2)具有异步复位清零、启动、计时和暂停功能;(3)输入时钟频率为100Hz;(4)要求数字跑表的输出能够直接驱动共阴极7段数码管显示.按照自顶向下设计,应该分为以下模块:分频----将下载板上50MHz时钟分频为周期是0.01秒的时钟,提供给百分计数计数1----百分计数,输入周期是0.01秒的时钟,计数,满100进位,注意个位,十位的不同生成计数2---60进制计数器,输入百分位,或者秒位的进位,计数,满60向高位进位,注意个位,十位的不同生成数码管显示控制----驱动数码管数据,显示控制端口。

三、实验步骤1、数码管显示驱动模块的设计(1)建立工程:file->New Project,并注意器件、EDA工具的正确选择(2)建立新Verilog HDL模块编辑窗口,选择资源类型为Verilog Module,并输入合法文件名,在文本编辑窗口输入代码。

(3)执行综合得到综合后的电路,并进行功能时序仿真。

2.计数器模块的设计设计步骤同数码管的设计,并完成模块的设计输入、综合、功能仿真。

简易数字跑表设计5篇

简易数字跑表设计5篇

简易数字跑表设计5篇第一篇:简易数字跑表设计西安郵電大学数字控制系统课程设计报告书院(系)名称:学生姓名:专业名称:班级:时自动化学院自动化自动1103 间: 2014年9月1日至2014年9月12日乘法器设计一、设计要求:计时精度10ms,计时范围59.99秒。

(1)对单人计时,能实现暂停、显示及清零功能,在数码管上实时显示,设置启动/暂停、清零按键;(2)对多个人同时计时,在数码管上实时显示,并能回显出六个时间。

可控制显示,设置清零、取时按键;(3)采用状态机设计;(4)百分秒在数码管上实时显示,秒利用多个数码管显示BCD 值。

二、设计过程: 1.方案设计:1)画状态图:按键状态输出复位:00开始:S0清零:z=0;启/停:01计数:S1不清零:z=1;存/回显:10暂停:S2存/回显:S32)写程序:·在一个module模块里,有多个always块;·有分频、计数、存储、输出等模块;·测试模块的输入输出与源程序的输入输出相反; 2.系统仿真:Verilog仿真所需仿真环境:modelsim 3.管脚配置:1.四、软件设计:源程序module paobiao(clk,rst,pause,save,mg,md,bg,bd);//秒高,秒低,百分秒高,百分秒低input clk,rst,pause,save;output reg [3:0] mg,md,bg,bd;reg [15:0] zd,zz,zg;//中间变量reg [17:0] count1;reg clk1;//100ms reg pause_temp,save_temp;//取上升沿 reg [13:0] count2,reg0,reg1,reg2,reg3,reg4,reg5;reg [2:0] count3;// reg [1:0] state,next_state;reg cn1;parameter S0=2'b00,S1=2'b01,S2=2'b11;//分频always @(posedge clk or negedge rst)begin if(!rst)count1<=0;else if(count1==200000)count1<=0;//200000else count1<=count1+1;endalways @(posedge clk)begin if(!rst)clk1<=0;else if(count1==99999)clk1<=~clk1;//99999 else clk1<=clk1;end //总计时always @(negedge clk1 or negedge rst)//clk1 begin if(!rst)count2<=0;else if(state==S1)count2<=count2+1;// else if(state==S2)count2<=count2;else count2<=count2;end//存储个数计数always @(negedge rst or negedge save)begin if(!rst) count3<=0;else if(next_state==S1)count3<=count3+1;else if(next_state==S2)count3<=count3-1;else count3<=count3;end//毫秒低位显示结果always @(negedge rst or posedge clk)beginif(!rst)bd<=0;else if(state==S1)bd<=count2%10;else if(state==S2)begin case(count3)3'b000: bd<=reg0%10;3'b001: bd<=reg1%10;3'b010: bd<=reg2%10;3'b011: bd<=reg3%10;3'b100: bd<=reg4%10;3'b101: bd<=reg5%10;default:bd<=reg0%10;endcaseendelsebd<=bd;end//毫秒高位显示结果always @(negedge rst or posedge clk)begin if(!rst)bg<=0;else if(state==S1)bg<=(count2/10)%10;else if(state==S2)begin case(count3)3'b000: bg<=(reg0/10)%10;3'b001: bg<=(reg1/10)%10;3'b010: bg<=(reg2/10)%10;3'b011: bg<=(reg3/10)%10;3'b100: bg<=(reg4/10)%10;3'b101: bg<=(reg5/10)%10;default:bg<=(reg0/10)%10;endcaseendelsebg<=bg;end//秒位显示结果always @(negedge rst or posedge clk)begin if(!rst)md<=0;else if(state==S1)md<=(count2/100)%10; else if(state==S2)begincase(count3)3'b000: md<=(reg0/100)%10;3'b001: md<=(reg1/100)%10;3'b010: md<=(reg2/100)%10;3'b011: md<=(reg3/100)%10;3'b100: md<=(reg4/100)%10;3'b101: md<=(reg5/100)%10;default:md<=(reg0/100)%10;endcase endelsemd<=md;end//十秒位显示结果always @(negedge rst or posedge clk) beginif(!rst)mg<=0;else if(state==S1)mg<=(count2/1000)%10; else if(state==S2)begincase(count3)3'b000: mg<=(reg0/100)%10;3'b001: mg<=(reg1/100)%10;3'b010: mg<=(reg2/100)%10;3'b011: mg<=(reg3/100)%10;3'b100: mg<=(reg4/100)%10;3'b101: mg<=(reg5/100)%10;default:mg<=(reg0/100)%10;endcaseendelsemg<=mg;endalways @(negedge rst or posedge clk)//定义当前状态begin if(!rst)state<=S0;//异步复位,S0为初始状态elsestate<=next_state;end//状态转移always @(state or pause orpause_temp)begincase(state)S0:begin if(pause==0&&pause_temp==1)next_state<=S1; else next_state<=S0;endS1:begin if(pause==0&&pause_temp==1)next_state<=S2; else next_state<=S1;endS2:begin if(pause==0&&pause_temp==1)next_state<=S1; else next_state<=S2;enddefault:next_state<=S0;endcaseend//存数always@(negedge rst or negedge save)begin if(!rst)begin reg0<=0;reg1<=0;reg2<=0;reg3<=0;reg4<=0;reg5<=0;end elsebegin if(count3==0)reg0<=count2;else if(count3==1)reg1<=count2;else if(count3==2)reg2<=count2;else if(count3==3)reg3<=count2;else if(count3==4)reg4<=count2;else if(count3==5)reg5<=count2;else begin reg0<=0;reg1<=0;reg2<=0;reg3<=0;reg4<=0;reg5<=0;endend end//取启停键上升沿always @(posedge clk)begin if(!rst)pause_temp<=0;elsepause_temp<=pause;end//取保存翻看上升沿always @(posedge clk)begin if(!rst)save_temp<=0;elsesave_temp<=save;endendmodule2.测试程序module paobiao_tp;reg clk,rst,pause,save;wire[3:0] mg,md,bg,bd;parameter DELY=10;paobiao u1(clk,rst,pause,save,mg,md,bg,bd);always #(DELY/2) clk=~clk;initialbegin clk=0;pause=0;save=0;rst=0;#DELY rst=1;save=0;pause=0;#DELY pause=1;#DELY pause=0;#DELY save=1;end endmodule五、实验结果 1.仿真图像2.硬件电路显示六、个人完成工作及心得体会我们组设计的是数字跑表,我负责画状态图和系统程序和仿真。

数字跑表设计eda课程设计

数字跑表设计eda课程设计

数字跑表设计eda课程设计数字跑表EDA课程设计是一个综合性的项目,旨在让学生掌握数字电路设计的基本原理和EDA工具的使用,同时培养他们的创新能力和团队合作精神。

以下是一个数字跑表EDA课程设计的示例:一、项目目标1. 掌握数字电路设计的基本原理和EDA工具的使用。

2. 培养创新能力和团队合作精神。

3. 设计并实现一个数字跑表,具有计时、暂停、重置等功能。

二、项目内容1. 数字电路设计基础知识:学生需要了解数字电路的基本组成和逻辑门的工作原理,以及EDA工具的基本使用方法。

2. 数字跑表功能分析:学生需要分析数字跑表的功能需求,包括计时、暂停、重置等功能,并设计相应的电路模块。

3. 数字跑表电路设计:学生需要使用EDA工具进行数字跑表的电路设计,包括逻辑门的选择、电路的布局和布线等。

4. 数字跑表测试与调试:学生需要对设计的数字跑表进行测试和调试,确保其功能正常。

5. 项目报告与总结:学生需要撰写项目报告,总结项目过程中的问题和经验,提出改进意见和建议。

三、项目实施步骤1. 准备阶段:学生需要了解数字电路设计和EDA工具的基本知识,准备好所需的硬件和软件工具。

2. 设计阶段:学生需要根据项目要求,设计数字跑表的电路模块,并使用EDA工具进行电路设计和仿真。

3. 制作阶段:学生需要根据仿真结果,制作数字跑表的电路板,并进行焊接和调试。

4. 测试阶段:学生需要对制作的数字跑表进行测试和调试,确保其功能正常。

5. 总结阶段:学生需要撰写项目报告,总结项目过程中的问题和经验,提出改进意见和建议。

四、注意事项1. 在项目实施过程中,学生需要保持积极的态度和团队合作精神,共同解决问题。

2. 学生需要认真记录项目过程中的问题和经验,以便在项目结束后进行总结和改进。

3. 学生需要注意安全问题,正确使用硬件和软件工具,避免意外事故的发生。

数字跑表课程设计

数字跑表课程设计

数字跑表课程设计数字跑表是一种广泛应用于跑步训练、体育比赛等领域的数字化计时设备。

在跑步训练中,数字跑表可以精确地测量跑步的时间、速度、里程等多个数据,帮助跑者更好地掌握自己的训练进度,并实时监测跑步的效果。

本文将针对数字跑表的设计进行分析和探讨。

数字跑表的外观设计应该简洁、实用。

跑步时需要方便携带,所以数字跑表应该尽可能轻巧,方便跑者佩戴。

同时,跑者在跑步过程中需要随时查看时间、速度、里程等数据,所以数字跑表上的显示屏应该大而清晰,方便跑者在运动中进行观察。

数字跑表的功能设计应该全面,并且操作简便。

数字跑表可以设置多个运动模式,如跑步、步行、骑行等,方便跑者根据不同的运动方式进行数据记录。

同时,数字跑表还应该具备计时、计速、计步、心率监测、睡眠监测等多项功能,以满足不同跑者的需求。

操作上,数字跑表的按键应该布局合理,方便跑者在运动中快速操作。

另外,数字跑表还可以与智能手机等设备进行连接,方便将数据同步到手机上进行分析和保存。

在软件设计上,数字跑表的界面应该简洁明了,功能分布清晰。

主界面可以设置为时间、距离以及消耗的卡路里等数据的显示,方便跑者在运动中快速浏览自己的运动情况。

另外,数字跑表可以提供历史记录查询、数据分析等功能,帮助跑者对自己的训练情况进行综合评估和分析。

数据的存储可以通过云端存储或者手机APP进行管理,方便跑者随时查看和分享自己的跑步数据。

数字跑表的设计应该充分考虑跑者的需求和使用习惯。

在计时功能上,数字跑表可以提供倒计时功能,方便跑者进行间隔训练。

在计步功能上,数字跑表可以提供步数提醒功能,帮助跑者掌握自己的运动量。

另外,数字跑表还可以配备GPS模块,实时定位和计算跑步的里程和速度。

对于心率监测功能,数字跑表可以配备心率带,实时监测跑者的心率情况。

数字跑表的设计还可以考虑加入社交功能,方便跑者与朋友、其他跑者进行数据分享和竞赛。

同时,数字跑表还可以提供健康指导和运动建议,帮助跑者全面了解自己的身体状况和运动效果,提供科学合理的训练建议。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计设计题目:数字跑表设计学生学院:信息科学与工程学院专业班级:通信工程10-1学生姓名:***学生学号:***********指导老师:王建英完成时间:2012年6月23日目录引言 (3)1设计意义及要求 (4)1.1设计意义 (4)1.2设计要求 (4)2方案设计 (5)2.1设计思路 (5)2.2设计方案 (4)2.2.1设计方案电路图 (5)3部分电路设计 (6)3.1脉冲输出电路 (6)3.2 74LS192计数电路 (7)3.3译码及显示电路 (9)4调试与检测 (10)4.1调试中故障及解决方案 (11)4.2调试与运行结果 (12)数字跑表的仿真操作步骤及使用说明 (14)5 DXP电路仿真 (14)设计心得 (19)参考文献 (20)引言在当今随着各个领域的高科技快速发展,社会生产力的发展个社会信息化程度的提高,人们各方面综合素质的提高,世界更新换代的节奏与人们生活的步伐也越来越快,在这告诉发展的当今世界,时间对人们来说无疑是极其宝贵的,时间就是金钱。

随着人们各方面素质的提高,不仅科学领域得到了飞速发展,在当今世界体育领域也飞速发展,运动员们都朝着“更高、更快、更强”目标发展,特别是短跑比赛要求计时器要有足够的精度,这样就出现了本课题研究的数字跑表,用以测量完场某项体育运动所用时间。

用于径赛、游泳、自行车、赛马等对计时器精度要求在百分之一秒。

当今世界的电子科技发展迅猛,其中单片机就是很好的例子,高性能、低功耗、低价格等特性极大丰富了市场。

但为了实践我们的理论知识,使理论与实践相结合本设计不采用单片机技术,而用简单的电器元件组成。

数字钟是一种数字电路技术是实现的时分秒及时装置,与机械式时钟相比具有更高的精准性和直观性,且无机械装置具有更长的使用寿命,因此得到广泛使用。

钟表的数字化给人们生活带来了极大方便,而且大大扩展了钟表元原先的报时功能,因此研究数字钟及扩大其应用,具有非常现实的意义。

关键词:数字跑表,芯片,数码显示管1设计意义及要求1.1设计意义数字钟的是采用数字电路实现对时、分、秒、数字显示的计时装置,广泛用于个人家庭、车站、码头办公室等公共场所,成为人们日常生活不可或缺的必需品,由于数字集成电路和石英晶体振荡器的广泛应用,是数字钟的精度远远超过了老式钟表,钟表是数字化给人们生活带来了极大方便,并拥有更多功能,自动振铃、定时广播,自动起灭路灯等。

所有这些都以钟表数字化为基础。

图1-1数字跑表结构框图1.2设计要求1)运用所学的模拟电路和数字电路等知识;2)用到的元件:实验板、电源、连接导线、74系列芯片、555芯片或微处理器等。

3)设计一个具有、‘分’、‘秒’、‘1/100秒’的十进制数字显示的计时器。

4)要有外部开关,控制计数器的直接清零、启动和暂停/连续计时功能;5)严格按照课程设计说明书要求撰写课程设计说明书。

2方案设计2.1设计思路数字跑表顾名思义主要由数字计数电路组成,选用的芯片也应该是有计数、清零、暂停功能的,例如74LS290、74LS192、74LS390等等,这里我个人选用的是74LS192芯片,在后面章节中会详细说明其用途。

计数电路部分的选材结束后就要考虑显示电路了,显示电路光靠显示数码管绝对是不可以的,所以当然要有译码电路,并且显示管和译码器的共阴、共阳要相互对应。

由于我选用的是共阴极的7段数码管所以与之配套的译码器有74LS48、74HC4511、74LS49等,这里我选用的是74HC4511。

设计要求有百分秒的计时,所以要在电路中加入振荡器,使得输出脉冲频率为100Hz,所以我选用555定时器组成的多谐振荡器(具体设计方案见后面章节)。

本数字跑表首先要从低位的百分秒计时器开始,按照系统时钟进行计数,计数至100后向秒计数器进位,同时百分秒有99变为00。

计数器以百分秒计数器的进位位为时钟进行计数,计数至60后向分计数器进位,分计数器以秒计数器的进位位为时钟进行计数。

2.2设计方案2.2.1设计方案一电路图以555定时器组成的多谐振荡器作为脉冲发生器,f=100HZ。

其中计数电路选用的芯片为74HC390,其中CKB与Q0相连使得计数方式为8421码,CKA为下降沿触发。

百分秒为100进制计数即00—99,秒和分都是60进制。

当74HC390为十进制时,即1001跳变到0000此时将Q3接到下一位的CPA就会给其一个下降的脉冲,开始计时。

秒的十位是6进制,将Q1、Q2相与与总清零线输入两输入端得或门后接到秒时位的清零端,完成到6的清零,同时将Q2连到分的各位,使得到0110时给分个位一个脉冲,分计数器开始计时。

译码器的选择与共阴极七段显示器相配,选用74LS4511,为是译码器正常工作将LT、BI高电平,LE接到低电平,同时将QA-QG分别按顺序接到显示器上。

3部分电路设计3.1脉冲输出电路两种设计方案均采用555定时器组成的多谐振荡器作为脉冲输出电路。

555集成时基电路称为集成定时器,是一种数字、模拟混合型的中规模集成电路,其应用十分广泛。

该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,因而广泛用于信号的产生、变换、控制与检测。

555电路的内部电路方框图如图3-1所示。

它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为和。

A1和A2的输出端控制RS触发器状态和放电管开关状态。

当输入信号输入并超过时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。

是复位端,当其为0时,555输出低电平。

平时该端开路或接VCC。

Vc是控制电压端(5脚),平时输出作为比较器A1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电电路。

由555构成的多谐振荡器如下图图3-2 555组成的多谐振荡器 图3-3多谐振荡器波形图由555定时器和外接元件R 1、R 2、C 构成多谐振荡器,脚2与脚6直接相连。

电路没有稳态,仅存在两个暂稳态,电路亦不需要外接触发信号,利用电源通过R 1、R 2向C 充电,以及C 通过R 2向放电端放电,使电路产生振荡。

电容C 在和之间充电和放电,从而在输出端得到一输出信号的时间参数是:T==0.7(R 1+R 2) C=0.7R 2C其中,为V C 由上升到所需的时间,为电容C 放电所需的时间。

555电路要求R1与R2均应不小于1KΩ,但两者之和应不大于3.3MΩ。

外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。

因此,这种形式的多谐振荡器应用很广。

3.2 74LS192计数电路74LS192是双时钟方式的十进制可逆计数器。

(bcd,二进制),它由四个主从T 触发器和一些门电路组成,具有清零、保持、并行输入、加计数、减计数等多种功能。

下面我们介绍74ls192引脚图,74ls192功能表s C R R T )221(43.1+≈图3-4 74LS192引脚图及逻辑符号其中:MR是清零端是递增计数脉冲输入端CPUCP是递减计数脉冲输入端DPL是置数控制端TCU是进位输出端TCD是借位输出端P0、P1、P2、P3是并行数据输入端Q0、Q1、Q2、Q3是并行数据输出端MR PL CP U CP D P3P2P1P0Q3Q2Q1Q0 1 X X X X X X X 0 0 0 0 0 0 X X d3d2 d1d0d3d2d1d0 0 1 1 X X X X 加计数表3-5 74LS192功能表当MR=1是,计数器各输出端均被清零,即Q0、Q1、Q2、Q3=0000,只有当MR=0是计数器才能实现置数、加计数、减计数及保持等功能。

计数器的递增计数脉冲输入端CP U 和递减计数输入端CP D 是彼此独立的,这种输入方式称为双时钟脉冲输入。

当计数器作为加计数器使用时,计数脉冲就从CP U 端输入,作为减计数器是就从CP D 端输入。

置数控制端PL 处于0状态时,可将数据从P0、P1、P2、P3端并行存入计数器。

PL 处于1状态时,计数器便处于加计数、减计数或者保持状态。

如果进行多位连接时,只要将低位的进位信号TCU 或借位信号TCD 分别接到高位的CP U 或CP D 端即可。

本设计方案就用到的进位端得连接。

3.3译码及显示电路图3-6七段数码管七段显示译码电路图3-7 74LS48引脚图0 1 1 X X X X 减计数 0 1 1 1 X X X X 保持图3-8 74LS48功能表七段发光二极管是多种显示器中的一种,它可直接显示出译码器输出的十进制数。

七段发光二极管显示器有共阳接法和共阴接法两种。

共阳接法就是把发光二级管的阳极都连在一起接到高电平上,输入低电平有效。

与其配套的译码器有74LS46、74LS47;共阴接法则相反,它是把发光二极管的阴极都连在一起接地,输入高电平有效。

与其配套的译码器有74LS48、74HC4511等。

七段显示器的外引线排列如图3-6。

与共阴极数码管配套的我选用了74HC4511,其原理与74LS48相同,在此介绍74LS48。

它具有以下特点:(1)消隐输入BI=O时,不论其余输入状态如何,所有输出为零。

译码时,BI=1。

(2)灯测试输入IT低电平有效。

译码时,IT=1。

(3)脉冲消隐输入RBI=1时,对译码无影响;当BI=IT=1时,若RBI=0,输入数码是十进制零时,七段全暗。

实际使用中有些零可以不显示成为冗余零。

脉冲消隐输入RBI=0,可使冗余零消隐。

本次设计的电路中使BI=IT=1,RBI=0完成译码功能。

4调试与检测4.1调试中故障及解决方案设计任务书下达后,我对此进行了分析,并根据设计要求选取芯片画出了基本电路图。

原理图画好后运用proteus软件对此进行了仿真。

由于在过去的学习中并没有接触过这个软件,也通过各种途径针对它进行了学习。

将所有电路连接好后进行仿真。

仿真结A B L=A+B0 0 00 1 11 0 11 1 1图4-1 或门的逻辑符号及真值表果与预期相似,点击开始按钮,数字跑表开始计时,百分秒跳到99时向秒个位进一,当秒钟到59时,向分钟进一。

当在数字跑表进行计数时,点击清零端发现秒十位和分十位无法清零。

于是我便对这一现象进行分析、排错。

相关文档
最新文档