最新出租车计价器设计

合集下载

新型出租车计价器设计

新型出租车计价器设计

摘要我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。

随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。

出租车计价器的功能从能够简单的自主计费,到按时间自主变动单价等功能。

随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。

现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展十分迅速。

而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。

随着社会的发展,出租车成为人们生活中非常方便且较普遍的交通工具,但出租车计价器却相对较为落后。

在过去,出租车采用机械式计价器,用齿轮比的方式来计算出租车所跑的里程数,并由里程数来换算车费。

但是机械齿轮体积较大,计算不是很准确,而且容易磨损。

后来又采用了传感器方式,利用传感器接收车的跑动信息,从而计算里程数和车费。

随着我国交通事业的发展以及道路的不断改进,出租车作为与人民生活密切相关的行业正在飞速发展壮大。

而出租车计价器的市场也不断扩大.本课题正是在现有计价器的基础上进行改进。

现在普通的出租车计价器通常只能够计算出里程数和车费,本课题在原有的基础上进行扩展,使它能够在显示里程和车费的同时,还能够显示时钟,车的速度和加速度。

由于出租车计价器是一项技术含量高的产品,因此在设计的过程中由于经验不足,难免会有缺点存在。

由于时间关系,还有一些问题尚待改进。

关键字定时器;计数器;传感器AbstractIn the 1970s, I began to taxi, but then the billing system are mostly imported not only inaccurate, the prices are very expensive. With the increasing depth of reform and opening up, the taxi industry momentum of development has been very prominent, and all domestic machinery manufacturers have introduced domestic meter. Taximeter functions independently from the billing, according to the time change in price, and other functions independently With the development of tourism cities, the taxi industry has become the city's window, a symbol of a city's level of civilization.Now all major cities in the taxi industry have universal automatic meter, meter and technological development is a foregone conclusion. And some small cities have not yet universal, but with increasing speed up urban construction, a symbol of urban landscape of the taxi industry will also accelerate the development of the popularity meter is no doubt, therefore, the future of the automobile market still accounted for a very promising .With the development of society, the taxi in which to live in a very convenient and more common means of transport, but the taxi meter is relatively backward. In the past, the use of mechanical taxi meter, and gear than the way by taxi to calculate the mileage run by Miles to convert fare. However, mechanical gear larger, the calculation is not very accurate and easy to wear. Then use the sensor, using sensors to receive the cars running, so as to calculate mileage and fares.As China's transport development and road improvements, taxi and the people's living standard as the industry is closely related to the rapid development and expansion. The taxi meter market also continues to expand. This issue is the existing meter on the basis of improvements. Now ordinary taxi meter is usually only able to calculate the mileage and fares in this issue on the basis of the original expansion to enable it to display mileage and fares, it is also able to show the clock, the vehicle speed and acceleration . As a taxi meter is a high technological content of products, so we designed the course due to lack of experience, difficult There will be free shortcomings. Because of the time, there are still some issues to be improving.Keyword timers counters sensors目录1 绪论 (1)1.1 前言 (1)1.2 单片机计价器的发展 (1)1.3 单片机计价器设计的意义 (1)2 任务要求及目标 (2)3 总体设计方案 (3)3.1 设计思路 (3)3.2 设计总框图 (4)4 检测传感器的设计 (5)4.1 检测传感器的接口 (5)4.2 汽车运行里程,速度,加速度,总价的关系计算 (7)5 单片机定时器/计数器的应用设计 (9)5.1 时钟设计 (9)5.2 计数器功能单元的设计 (13)6 数据的转换及数据显示单元设计 (15)6.1 数据的转换 (15)6.2 数据显示单元设计 (18)7 单片机硬件电路及输入/输出接口设计 (23)7.1 出租车计价器开关设计 (23)7.2 8031单片机与8155的接口 (23)7.3 地址译码器与8155和8031的连接 (25)7.4 LED的动态扫描显示器接口电路 (26)8 程序设计 (29)8.1 主程序设计 (29)8.2 定时中断服务程序 (30)8.3 启动/清除计程中断服务程序 (30)9 总流程图设计 (31)10 单片机应用程序设计 (37)结语 (38)致谢 (39)参考文献 (40)附录 (41)附录1 (41)1 绪论1.1 前言毕业设计是学生综合运用所学理论战士的重要体现,是大学中必要的教学环节。

出租车计价器系统设计

出租车计价器系统设计

出租车计价器系统设计一、引言二、系统需求1.实时计算乘客的费用,包括起步价、里程费和时间费。

2.支持现金和电子支付两种支付方式。

3.集成GPS导航功能,方便司机找到目的地。

4.提供乘客和司机的行程记录,以便后续查询和管理。

5.具备车辆运营数据统计和分析功能,方便管理者监控业务运营情况。

6.界面友好,操作简单方便。

三、系统设计1.架构设计出租车计价器系统可以采用分布式架构,主要由计价器终端设备、服务器和后台管理系统组成。

计价器终端设备负责实时计算费用,接收用户支付信息并提供导航功能。

服务器将终端设备上的数据上传到后台管理系统,并处理支付信息。

后台管理系统负责行程记录的存储和查询,车辆运营数据统计等功能。

2.功能设计计价器终端设备的主要功能包括:-实时显示乘客的费用,包括起步费、里程费和时间费。

-支持现金和电子支付两种支付方式。

对于现金支付,可以提供找零功能。

-集成GPS导航功能,显示乘客的目的地并为司机提供导航指引。

-提供乘客和司机的行程记录,包括乘车地点、目的地、起步时间、到达时间等信息。

后台管理系统的主要功能包括:-存储和查询行程记录,方便乘客和司机进行查询,也方便管理者进行统计和分析。

-处理支付信息,包括验证支付的有效性和进行支付结果的记录。

-根据行程记录和支付信息生成报表,进行车辆运营数据的统计和分析。

3.数据库设计系统需要至少设计以下几个数据库表:-行程记录表,包含乘车地点、目的地、起步时间、到达时间等信息。

-支付记录表,包含支付方式、支付金额、支付结果等信息。

-车辆表,包含车辆的基本信息,如车牌号、品牌、颜色等。

-用户表,包含乘客的基本信息,如姓名、手机号等。

四、系统流程1.乘客叫车并上车后,司机启动计价器终端设备。

2.计价器显示乘客的起步费和当前费用,并启动计时和记录起步时间。

3.计价器终端设备显示乘客的目的地,并提供导航指引。

4.司机按照导航指引将乘客送到目的地。

5.到达目的地后,计价器停止计时,显示乘客的总费用。

基于单片机的出租车计价器设计设计

基于单片机的出租车计价器设计设计

基于单片机的出租车计价器设计设计出租车计价器是一种基于单片机的设备,用于计算乘客乘坐出租车的费用。

在设计出租车计价器时,需要考虑到计价规则、硬件设计和软件编程等因素。

首先,我们需要确定计价规则。

一般来说,出租车的计价规则包括起步价、里程费和时间费。

起步价是乘客上车时需要支付的固定费用,里程费是根据乘客的行驶里程来计算的变动费用,时间费是在乘客等待或者拥堵时计算的费用。

接下来,我们需要进行硬件设计。

出租车计价器的硬件设计包括显示屏、键盘、车速传感器和单片机等组成部分。

显示屏用于显示计价器的计费结果和其他信息,键盘用于输入乘客的上下车信息和计价规则,车速传感器用于检测出租车的速度,单片机用于控制计价器的计费过程和显示信息。

然后,我们需要进行软件编程。

软件编程主要是为了控制单片机的工作流程和计费逻辑。

在软件编程中,我们需要设计计费算法,根据乘客的上下车信息、里程和时间等数据来计算费用。

同时,我们还需要设计按钮和操作界面,以便乘客和司机能够操作和使用计价器。

此外,出租车计价器还可以增加一些额外功能,如记录行驶轨迹和生成行驶报告等。

行驶轨迹可以用于监控出租车的行驶情况,而行驶报告可以提供给乘客或者出租车公司,以便进行事后结算和分析。

最后,我们还需要考虑出租车计价器的安全性和可靠性。

安全性是指计价器应具备防止数据篡改和信息泄露的能力,可靠性是指计价器应具备稳定工作和数据准确性的能力。

综上所述,基于单片机的出租车计价器设计需要考虑计价规则、硬件
设计和软件编程等因素。

通过合理的设计和规划,可以实现一个功能完善,安全可靠的出租车计价器。

最新设计报告-出租车计价器

最新设计报告-出租车计价器

目录设计任务…………………………………………………………………………设计要求基本要求…………………………………………………………………………发挥部分…………………………………………………………………………完成要求…………………………………………………………………………报告正文摘要及关键词……………………………………………………………………一、方案论证与比较方案比较方案一……………………………………………………………………………………方案二………………………………………………………………………………方案的确定………………………………………………………………………二、工作原理框图及电路设计工作原理…………………………………………………………………………单元(功能)电路分析……………………………………………………………控制部分…………………………………………………………………………功能面板示意图…………………………………………………………………特色功能…………………………………………………………………………三、程序框图主流程图…………………………………………………………………………计费状态图………………………………………………………………………时间设置…………………………………………………………………………计费设置…………………………………………………………………………四、测试方法及结果指标测试…………………………………………………………………………设计与测试使用仪器……………………………………………………………测试数据…………………………………………………………………………误差分析及措施…………………………………………………………………参考文献…………………………………………………………………………源程序……………………………………………………………………………英文摘要…………………………………………………………………………附录一设计任务设计并制作一台出租车计价器二设计要求1 基本要求(1)不同情况具有不同的计费标准■白天■晚上■途中等待(>10min开始收费)(2)能够进行手动修改单价(3)具有数据复位功能(4)I/O口分配的简易要求■距离检测使用霍尔开关A44E■白天/晚上收费标准使用转换开关■数据清零开关■单价的调整(最好使用“+”和“-”键来控制)(5)数据输出 (采用LCM103)■单价输出2位■路程输出2位■总金额输出3位(6)按键■启动计时开关■数据复位开关(清零)■白天/晚上转换开关2 发挥部分■能够在掉电的情况下存储单价等数据■能够显示当前的系统时间■语音播报数据信息三完成要求■8月7日到8日两天。

多功能出租车计价器设计

多功能出租车计价器设计

多功能出租车计价器设计背景:出租车计价器是一种用于计算出租车费用的设备,通常会根据里程数、时间和其他一些因素来计算费用。

然而,传统的出租车计价器仅仅只能计算费用,功能单一、在现代社会,人们对于服务的需求越来越多样化,希望能够通过一种便捷的方式同时满足多种需求。

因此,设计一种多功能出租车计价器是非常必要的。

设计目标:设计一种多功能出租车计价器,使其能够计算费用、导航、提供娱乐等功能,提升用户体验,提高出租车服务的效率和质量。

设计要素:1.费用计算功能:保留传统出租车计价器的计费功能,能够根据里程数、时间以及其他因素准确计算费用。

同时,支持不同地区的计费规则,并能够随时更新。

2.导航功能:集成导航系统,能够根据用户的目的地提供路线规划和导航服务。

使用高精度的地图数据,实时监测交通状况,并提供最佳路线选择。

3.娱乐功能:提供丰富多样的娱乐内容,如音乐、电影、游戏等,使乘客在行程中能够享受娱乐。

同时,支持个性化设置,乘客可根据自己的喜好选择自己喜爱的娱乐内容。

4.多语言支持:支持多种语言,方便国际乘客使用,提升用户体验。

5.安全防护:设备具备安全措施,如防撞装置和紧急求助按钮,保障乘客的安全。

6.支付功能:支持多种支付方式,如现金、移动支付等,方便乘客支付费用。

7.数据统计功能:对乘客的行程数据进行统计和分析,帮助出租车公司了解乘客需求,优化服务。

实施方案:1.硬件设计:多功能出租车计价器的硬件部分需要具备高性能的处理器,充足的存储空间,并配备高分辨率的屏幕。

同时,需要集成导航模块、娱乐模块以及各种传感器等。

2.软件开发:应用开发人员需要编写适用于多功能出租车计价器的应用程序。

程序包括费用计算模块、导航模块、娱乐模块、多语言支持模块、支付模块、数据统计模块等。

需要多个团队协作,开发出高质量的应用程序。

3.数据管理:需要建立一套完善的数据管理系统,用于存储和管理乘客的行程数据。

数据需要进行安全加密和备份,以保障数据安全性。

EDA简易出租车计价器设计

EDA简易出租车计价器设计

程后自动归零。
(4) 译码显示模块
Page 4
该模块经过8选1选择器将计费数据(4位BCD码)、
计时数据(2位BCD码)、计程数据(2位BCD码)动态
显示输出。其中计费数据jifei4~jifei1送入显示译码模块进
行译码,最后送至以百元、十元、元、角为单位对应的数
码管上显示,最大显示为999.9元;计时数据送入显示译
码模块进行译码,最后送至以分为单位对应的数码管上显
示,最大显示为59分;计程数据送入显示译码模块进行译
码,最后送至以公里为单位的数码管上显示,最大显示为
99公里。其系统组成框图如图2.1所示。
Page 5
时钟信 分频器 计费


等待信


计时
公里脉




计费/
计程
复位
Page 6
3 出租车计费器的层次化设计方案
(1) 分频模块 分频模块对频率为240Hz的输入脉冲进行分频,产生频率为16Hz、 15Hz、1Hz的3种频率。该模块产生频率信号用于计费,每个1Hz脉 冲为0.1元计费控制,15Hz为1.5元的计费控制,16Hz信号1.6元计费 控制。 (2) 控制模块 计价器控制模块主要完成对计价器状态的控制。
Page 3
(3) 计量模块
计量模块完成计价、计时和计程功能。
计价部分:行程在3公里内,而且等待累计时间小于2分钟,起步费为
10元;3公里外以每公里按1.6元计费,等待累计时间超过2分钟按每分钟1.5
元计费。
计时部分:计算乘客的等待累计时间。计时器的量程为59分钟,满量
程后自动归零。
计程部分:计算乘客所行驶的公里数。计程器的量程为99千米, 满量

基于单片机的出租车计价器的设计

基于单片机的出租车计价器的设计

基于单片机的出租车计价器的设计一、设计目标:设计一个基于单片机的出租车计价器,能够准确计算乘客的乘车距离和费用,并能显示当前的计价信息。

二、设计原理:1. 距离测量:使用速度传感器和车轮直径来测量出租车行驶的距离。

2. 费用计算:根据距离和预设的计价规则,使用单片机进行费用计算。

3. 显示:使用LCD显示屏显示当前的计价信息和距离。

三、硬件设计:1. 单片机:选择合适的单片机,如ATmega8,作为主控制器。

2. 速度传感器:选择合适的速度传感器,如霍尔传感器,用于测量车轮转速。

3. LCD显示屏:选择合适的LCD显示屏,如16x2字符LCD,用于显示计价信息和距离。

4. 按键开关:设计合适的按键开关,用于启动计价器和调整设置。

四、软件设计:1. 初始化设置:在计价器启动时,进行LCD显示屏和速度传感器的初始化设置。

2. 距离测量:通过速度传感器读取车轮转速,根据车轮直径计算出租车行驶的距离。

3. 费用计算:根据距离和预设的计价规则,使用单片机进行费用计算,并将计算结果显示在LCD上。

4. 设置调整:设计按键开关用于调整计价规则和费率设置。

5. 实时显示:将计价信息和距离实时显示在LCD上,方便乘客查看。

五、测试和验证:进行功能测试和实地验证,确保计价器的准确性和稳定性。

包括距离测量的准确性、费用计算的准确性以及LCD显示的正确性。

六、优化和改进:根据测试结果和用户反馈,对计价器进行优化和改进,提高其性能和用户体验。

总结:基于单片机的出租车计价器是一个实用的设计,能够帮助出租车司机和乘客准确计算乘车距离和费用。

通过合理的硬件设计和软件编程,可以实现距离测量、费用计算和实时显示等功能。

在实际使用中,需要进行充分的测试和验证,以确保计价器的准确性和稳定性。

通过不断优化和改进,可以提高计价器的性能和用户体验,满足用户的需求。

计程车计价器设计

计程车计价器设计

目录引言 (2)1.设计的主要内容和方案 (2)1.1设计基本内容 (2)1.2设计方案 (3)1.2.1设计框图 (3)1.2.2白天、晚上单价与起步价和原始路程设置 (3)1.2.3行驶中对车速调节 (3)1.2.4路程、总金额与速度、时间的变化关系 (4)1.2.5等待时间计费 (4)1.2.6 LED显示 (4)1.2.7语音报警 (4)1.2.8功能键设置 (4)1.3软件硬件设计 (5)1.3.1硬件设计 (5)1.3.2软件设计 (9)2.程序流程图 (10)2.1主程序流程图 (10)2.2初始化子程序流程图 (11)2.3键盘扫描子程序流程图 (11)2.4中断0程序控制步进电机 (12)2.5中断1控制单色LED显示 (12)2.6中断2控制熄灭LED的精确定时 (13)2.7中断3计时计算价格与公里数 (13)3.具体操作 (14)4.任务分工 (14)5.结果 (14)6.总结 (15)7.参考文献 (15)程序清单 (16)引言现如今,出租车出现在在各大小城市中,给人们带来了很大的便利,人们对出租车最关心的还是在于车价和路程行驶的合理和公道性。

对此就要求一个较为合理简便的计价器的出现。

因此我们结合本课程的基础知识来设计一个计程车计价器。

1.设计的主要内容和方案1.1设计基本内容设计一个计程车计价器,用计算机试验系统完成计程车计价器的基本功能,并在此基础上自行完善和优化系统功能。

基本功能具体要求如下:1.可以设置起步价、每公里单价。

2.用三色LED显示计价结果,夜间价格用绿色显示,白天用红色显示;用单色LED显示车速。

3.按键启动计程车起步价和停车,行驶过程中可以调整车速,并在LED上显示当前车速。

按键开始计价和停止计价。

根据车速和进行时间计算行驶距离,3公里后每公里增加1次单价,要求精确到角。

若在计价过程中停车,则每隔5秒增加1次单价。

计价过程中,LED上显示计价结果;停止计价后,计价结果继续显示5秒后,关闭LED。

新型IC卡模块化出租汽车计价器的设计

新型IC卡模块化出租汽车计价器的设计

新型IC卡模块化出租汽车计价器的设计摘要本文针对传统计价器存在的功能单一、硬件更换维修困难,软件难以实现计量参数的便捷下载及软件的升级等问题,提出一款新型IC卡模块化出租汽车计价器的设计解决方案。

关键词出租汽车计价器;IC卡;模块化0 引言出租车计价器(taximeter)就其基本功能定义是指用于公共租用车辆中的里程和时间的计算器,是一种计量仪器,连续累加并指示出租车行程中任意时刻乘客应付费用的总数。

目前,国内的出租车计价器虽然生产厂家较多,但普遍存在着功能单一、硬件更换维修困难,软件难以实现计量参数的便捷下载及软件的升级等问题。

本人根据出租车计价器发展的趋势,设计了一款IC卡的模块化出租车计价器,对其软、硬件都采用了模块化设计架构,在计量、打印功能的基础上增加了IC卡管理功能、语音报话功能、对外通讯及程序在线升级等多项功能。

整机功耗小于3.6W,计程误差和计时误差均在+0.5%-1.O%之间,系统性能稳定、体积小、成本低。

这种新型IC卡模块化计价器以操作、维修简便作为新一代计价器的发展方向。

新型IC卡出租车计价器的示意图:1 新型IC卡出租车计价器的创新设计1.1 IC卡(CPU智能卡)在出租车计价器上的设计本文针对传统计价器常有被盗用及未经管理方授权的出租车擅自营运的现象,为防止以上现象的发生,利用智能IC卡(CPU卡)的安全认证机制及文件管理技术设计出租车计价计价器的开、关机管理、营运调节参数管理、以及资金卡消费管理等安全应用,实现出租车计价器的安全使用与高效管理。

CPU智能卡的加密技术是基于对称密码体制的DES算法。

DES加密算法的过程,如图所示。

智能CPU卡的加密算法选用三重DES算法,三重DES算法(3DES算法)是指使用双长度(16字节)密钥K=(KL||KR)将8字节明文数据块加密成密文数据块,加密方式如下所示:E=DES(KL)[DES-1(KR)[DES(KL[P])]]解密的方式如下:P=DES-1 (KL)[DES(KR)[ DES-1 (KL[E])]]在于DES算法的安全性除了用穷举法攻击外还未有更有效的手段。

出租车计价器程序的设计(完整)

出租车计价器程序的设计(完整)

出租车计价器程序的设计(完整)出租车计价器程序是一个在出租车里程查询和费用计算的应用程序。

它能够简化出租车司机的工作,节省出租车乘客的时间,确保费用的准确计算。

以下是一份关于出租车计价器程序的完整设计,包括需求分析、功能设计、数据结构与算法设计以及界面设计。

需求分析:出租车计价器程序主要面向出租车司机和乘客,满足以下基本需求:对于司机:1. 可以输入起点和终点的地址或位置信息。

2. 可以自动计算车程里程和费用,并将结果显示出来。

3. 可以存储以前的乘车记录,以便统计业绩和分析乘客需求。

4. 可以提供多种语言和计费标准的选择。

对于乘客:1. 可以查询最合适的出租车车辆,方便的下单和乘车。

2. 可以清楚的了解费用计算和支付方式。

3. 可以评价司机和服务质量,提供建议和反馈。

功能设计:根据上述需求,出租车计价器程序的主要功能包括:1. 地址输入功能:允许用户输入起点和终点的地址或位置信息,包括街道、城市、州或国家等信息。

2. 路程计算功能:通过使用谷歌地图或其他地图服务的API,计算车程里程和估算费用。

该功能支持使用不同的度量标准,例如英里、千米或小时费率等。

3. 乘车记录存储功能:存储每位乘客的信息及计费历史,用于分析和管理出租车运营。

4. 多语言支持功能:支持使用多种语言的用户,例如英文、中文、西班牙语、法语等,方便全球用户使用。

5. 流程优化功能:在操作过程中自动提示并引导用户,并根据用户信息进行推荐,简化用户体验。

数据结构与算法设计:出租车计价器程序的核心算法是基于Google Maps API 和其他地图服务API的距离计算和费率计算,使用常见的计费方式,如按里程计费、按时间计费、按时速计费等。

此外,应当使用数据库,来储存乘客和司机的个人信息和历史计费信息。

数据库应该使用关系型数据库的设计,并具有高性能和高可扩展性。

界面设计:出租车计价器程序的界面设计应该是简洁、直观和易于使用的。

在程序的主界面上,必须让用户能够输入起点和终点的地址信息,提供多种语言和计费标准的选择。

出租车计价器系统设计方案

出租车计价器系统设计方案

出租车计价器系统设计方案第一章出租车计价器系统功能描述1.1 计价器整体功能描述结构设计出租车计价器方案并进行仿真,完成白天晚上转换计价,显示路程、单价、总金额。

1.2 各部分电路功能描述1 不同情况具有不同的收费标准。

a) 白天b) 晚上2 具有数据的复位功能3 IO 口分配的简易要求a) 距离检测使用霍尔开关A44Eb) 白天/ 晚上收费标准的转换开关c) 数据清零开关4 数据输出5 按键a) 启动计时开关b) 数据复位(清零)c) 白天/ 晚上转换第二章方案论证本系统可分 4 个模块构成:主控电路、掉电保护模块、信号采集模块和显示模块。

2.1 主控电路选择方案一使用数字电路和模拟电路来完成设计要求。

显示器件有:LED显示, VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMO系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。

而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。

方案二使用单片机来完成设计要求。

单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

针对计费模式的切换,通过软件编程就可以容易的实现。

避免了机械开关带来的不稳定因素。

确定方案经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。

2.2 显示电路选择方案一:采用液晶显示器(LCD显示。

液晶屏显示功能强大,可显示各种字体的数字、汉字、图像,还可以自定义显示内容,显示内容较丰富;方便操作者读取信息及一些扩展功能的实现。

出租车计价器设计

出租车计价器设计
要求显示里程、计费及等候时间。乘客上车后,按下启动键,开始计费,除非同一乘客往返用那个车,否则按下单程键,这样行驶里程超过20公里时,每公里加收50%,既每公里租费1.5元;计费显示为3位整数、1位小数。
二、设计原始资料
1.教材
2.实验指导书
三、要求的设计成果(课程设计说明书、设计实计背景、设计原理、仿真结果分析、设计总结。
指导教师(签名):
教研室主任(签名):
注:可以附页
课程设计成绩评定表
出勤
情况
出勤天数
缺勤天数




出勤情况及设计过程表现(20分)
课设答辩(20分)
设计成果(60分)
总成绩(100分)
提问
(答辩)
问题
情况




指导教师签名:
年月日
2.硬件调试:要求学生对设计进行硬件调试,调试平台为EDA实验室的实验箱。
四、进程安排
1.20周1-3进行总体设计,进行各模块的程序设计、仿真及硬件调试。
2.20周4-5进行说明书撰写及答辩。
五、主要参考资料
1.潘松,黄继业.《EDA技术实用教程》.科学出版社,2010.6.
2.刘江海.《EDA技术课程设计》.华中科技大学出版社,2009.5.
EDA技术课程设计任务书
一、设计题目、内容及要求
题目:出租车计价器设计
内容及要求:
设计任务:
设计一种出租车计价器,计费标准如下
(1)起步价为8.00元,起步公里为3公里;
(2)超过3公里,每公里按1.00元收费;
(3)单程行驶里程超过20公里,每公里租价加收50%;
(4)等候时间累积1分钟,则每分钟这算1公里里程价。

PLC 出租车计价器的设计

PLC 出租车计价器的设计

目录一.设计目的及要求二.工作原理、系统方框图三.各部分选定方案及电路组成、相关器件说明四.系统调试与检测五.设计结论六.设计心得与总结七.参考文献附录一:元器件清单附录二:小组各成员所做工作说明,每个成员对最终方案的贡献一.设计目的及要求汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。

每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。

[设计要求](1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。

(2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(3)行车单价、等车单价、起步价可分别预置。

二.工作原理、系统方框图出租车计价器是安装在出租汽车上的专用计量仪器,作用是显示出出租车行驶的里程和乘客所需要付的金额,具有良好性能的计价器是乘客和司机都希望的。

1出租车计价器的计价原理乘客应付的金额值是路程和时间的函数,计程和计时是计价器计价的依据,为方便起见,计程划分为起程和续程两部分,计时也分起始时间和后续时间。

起程、续程值和起始时间、后续时间值的大小,一般由当地政府有关部门(如客运交通管理、物价部门)视当地诸因素如城市大小、交通情况、生活和物价水平等确定,通常起程、起始时间大于续程、后续时间。

起程内的车费通称为基本车费、基价或起步价,乘客乘车计程不足基价里程是按基价收费,超过基价里程时超出部分按后续单价累计;计价器设定有免费等候时间,即运营时乘客要求停车免费等候时间,超过免费等候时间按等候单价累计收费。

计价器按照一定间隔作跳跃式变化(例如图2.1),显示金额。

出租车的行进状态分为两种:一种是在没有乘客情况下.1的状态,称为空车;一种是在有乘客情况下的状态,称为重车。

出租车上备有一个空车牌标志,当处于空车情况下时,空车牌翻上,此时计价器不计价;当有乘客上车时,首先翻下空车牌,表示重车,然后计营运数据。

出租车计价器设计

出租车计价器设计

出租车计价器设计出租车计价器摘要:本设计是利⽤单⽚机技术来实现⼀台多功能出租车计价器,由单⽚机、键盘控制部分、串⼝驱动显⽰电路、⾥程计价单元部分组成,能够实现⽩天晚上转换计价、中途等待计价、总⾦额路程转换显⽰、时钟显⽰、始终调整等功能,具有性能可靠、电路简单、成本低等特点。

关键词:单⽚机控制出租车计价器数码显⽰1引⾔随着电⼦技术的发展,出租车计价器技术也在不断进步和提⾼,国内出租车计价器已经经历了四个阶段的发展,从传统的全部由机械元器件组成的机械式,到半电⼦式即⽤电⼦线路代替部分机械元器件的出租车计价器,再从集成电路式到⽬前的单⽚机系统设计的出租车计价器。

单⽚机控制的出租车计价器性能可靠、电路简单、成本低,在出租车⾏业已经得到了⼴泛的应⽤。

2总体设计⽅案出租车计价器通过传感器与⾏驶车辆连接。

出租汽车的实际⾥程通过传感器的脉冲信号在计价器⾥折算成⼀定的计价营运⾥程。

⽬前市场上出租车计价器功能主要有具有数据的复位功能、⽩天/晚上转换功能、数据输出功能、计时计价功能等。

本设计利⽤89S51单⽚机丰富的I/O⼝进⾏移位显⽰和键盘控制,⽤六⽚74164驱动六⽚数码管显⽰,并带有复位电路,可以在不正常状况下按复位键复位。

2.1设计思路出租车计价器根据乘客乘坐汽车⾏驶距离和等候时间的多少进⾏计价,并在⾏程中同步显⽰车费值。

从起步价开始,当汽车程⾏驶未满2公⾥时,均按起步价计算。

过2公⾥后,实现每1公⾥单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了⼀位乘客的车费。

⾥程计算是通过安装在车轮上的霍尔传感器A44E检测到信号传到单⽚机通过处理计算,送给显⽰单元的。

完整的出租车计价器还应包括查看、统计、时钟显⽰等功能。

2.2总体设计框图总体设计框图如图1所⽰。

图1 出租车计价器原理框图3设计原理分析本系统的硬件设计主要包括单⽚机AT89S51、数据显⽰部件、A44E霍尔传感器电路、⾥程计算及计价单元的设计。

基于STM32的出租车计价器设计

基于STM32的出租车计价器设计

我是即将毕业的大学生,《基于STM32的出租车计价器设计》是我的毕业设计题目,我希望能够通过这次活动提高我的实践能力,同时也能很好的完成毕业设计!基于STM32的出租车计价器设计项目开发计划书基本功能根据当地实际的计费策略实现基本按里程计费功能,能够显示正确的时间,并根据此时间分昼夜方式计费,通过LCD实时显示当前价格、等候时间、昼夜等,串口输出到微型打印机打印项目计划1.编写项目需求分析书,对项目特点进行分工。

2.编写项目整体设计。

3.编写代码和进行硬件调试4.完成项目基本功能后,对各个模块进行整合。

基于STM32的出租车计价器设计说明书绪论:出租车是现代人类的重要交通工具,而出租车的计价系统的安全性和先进性是人们普遍关心的问题,要求计价器性能稳定,计价准确,以及预防司机作弊行为等等都关系到乘客的切身利益。

因此,设计出符合人们普遍要求及放心的产品具有重要的意义。

本设计主要介绍了出租车计费系统的设计思路和过程,并给出相关知识的概要介绍。

目前,普遍的出租车计费器不仅具有时钟,起步价,里程计费,等待计费及显示几个功能。

将来的产品还会增加如下功能:防作弊功能,IC卡付费:顾客能在制定点购买一定额度的"顾客IC卡",乘车后可用IC卡付帐,付帐是否成功有相应的提示。

车主可定期将总营业额写入"车主IC卡"中,并据此IC卡向所属公司领取报酬。

车票资料打印:顾客付费后可打印,打印内容包括车主信息和车费信息等。

可打印车主总营业额信息。

语音播报:当乘客上车时,可自动问候乘客,当到达目的地,自动播报乘车费用并礼貌再见,表达希望下次乘坐的意思。

增加的这些功能将会更好地为乘客服务。

基于STM32的出租车计价器设计一、设计任务书1、设计任务:出租车计价器。

2、设计要求:(1)设计一个出租车自动计价器,具有行车里程计费、等候时间计费、起价、实时时钟、速度以及打印的功能,以上其功能均显示在LCD显示屏上。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

出租车计价器设计综合实验——出租车计价器设计前言随着微电子技术的发展,现代电子技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。

EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。

本学期,学校开设了EDA和VHDL课程的学习,而学习一门编程语言,最好的方法莫过于在一个完整的系统开发过程中进行。

因此,EDA综合实验就是一个最好的学习实践机会。

随着我国社会经济的全面发展,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普遍的交通工具。

出租车计价器是出租车营运收费的专用智能化仪表是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。

一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。

本实验就是采用VHDL硬件描述语言作为设计手段,采用自顶向下的设计思路,得到一种出租车计价系统的软件结构,通过在 Quartus II软件下进行模拟仿真,并进行相应的硬件下载调试,证明所设计的电路系统完成了出租车计价器的功能,各技术指标符合预定标准,具有一定实用性。

一、实验任务及要求1.能实现计费功能,计费标准为:按行驶里程收费,起步费为10.00元,并在车行3公里后再按1.6元/公里,车暂停时,停车一分钟之后开始加价,每分钟增加2.5元。

2. 实现预置功能:能预置起步费、每公里收费、车行加费里程。

3. 实现模拟功能:能模拟汽车启动、停止、暂停等状态。

4. 设计动态扫描电路:将车费及暂停时间显示出来。

5. 用VHDL语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。

6. 综合仿真验证,并通过有关波形确认电路设计是否正确。

7. 完成电路全部设计后,通过GW48系统实验箱下载验证设计的正确性。

二、实验原理图1出租车计价器流程图图2系统结构图接口部分定义如下:输入:clk_240,系统时钟,频率为240Hz;Start,启动信号,当start=1时,汽车启动,开始计价; 当start=0时,清零;Stop,暂时计时信号,当stop-1时,中途暂停,开始计时;当stop=0时,再次出发;Fin,汽车车速脉冲信号,是一个与随着车速变化而变化的脉冲信号。

输出:cha2,cha1,cha0,分别为价钱的十位,个位和角位;Km1,km0,分别为行驶公里数的十位,个位;Min0,暂时分钟数输出。

接口部分源程序如下:port ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min0: out std_logic_vector(3 downto 0)); --等待时间计价部分原理如下:起步价10元,3公里内10元,超出3公里部分,每公里1.6元,车暂停时,2分钟内不加价,超出2分钟部分,每分钟2.5元;计费部分源程序如下:feipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此IF语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此IF语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此IF语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此IF语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0 000";elsif stop='1' thenif w=59 then w<=0; --此IF语句完成等待计时if m0="1001" then m0<="0000"; --此IF语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此IF语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此IF语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此IF语句得到en0使能信号 else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出 end if;end process;process(f,start)beginif start='0' then c3<="0000";c2<="0001";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此IF语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process;end behav;图3仿真波形图1图4仿真波形图2三、系统测试系统测试过程如下:首先用QuartusⅡ仿真工具对系统进行时序仿真(波形如图3、图4)。

功能仿真用于综合前检查设计的逻辑功能是否符合设计要求,仿真过程中可以通过观察检测设计的输入信号、输出信号以及内部信号来分析设计的逻辑功能是否实现。

如果仿真全部通过无误的话,就可以进行引脚锁定,然后下载程序到GW48 系统进行硬件仿真。

FPGA 的配置芯片为ACEX1K EP1K30TC114-3,利用在实验箱上连接好的八片LED 数码管中的六片,直接观察结果。

测试结果表明,系统设计达到了预期的技术指标要求。

四、实验总结出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计LED数码管显示车费数目。

车暂时1分钟内车费保持不变。

若停止则车费清零,等待下一次计费的开始出租车计费系统的设计中体现了VHDL覆盖面广,描述能力强,是一个多层次的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的价值。

此外,由于是初学EDA及VHDL,难免一些错误及不足之处,本系统也存在一定的缺陷,如车费只能显示到十位,百位无法显示,暂停也只能显示10分钟以内。

相关文档
最新文档