实验二 组合逻辑电路功能分析与设计

合集下载

实验二 组合逻辑电路的设计

实验二  组合逻辑电路的设计

实验二组合逻辑电路的设计一、实验目的1.设计8段译码器、两路4位二进制比较器,并在实验装置上验证所设计的电路;2.学习用VHDL语句进行逻辑描述。

二、实验要求用VHDL设计8段译码器、两路4位二进制比较器,对CPLD器件进行配置及下载来验证自己的设计,验证电路的外围器件可选用按键输入、指示灯输出。

三、设计方案按键的状态作为输入,输出对应数字的编码,连接到数码管上面可以看到数码管显示对应的数值。

代码:LED.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity LED isport(number:in std_logic_vector(3 downto 0);ledout:out std_logic_vector(7 downto 0));end;architecture u1 of LED isbeginwith number selectledout<="00111111"when"0000", --0"00000110"when"0001", --1"01011011"when"0010", --2"01001111"when"0011", --3"01100110"when"0100", --4"01101101"when"0101", --5"01111101"when"0110", --6"00000111"when"0111", --7"01111111"when"1000", --8"01101111"when"1001", --9"01110111"when"1010", --A"01111100"when"1011", --B"00111001"when"1100", --C"01011110"when"1101", --D"01111001"when"1110", --E"01110001"when"1111"; --Fend;实验结果:按下试验箱的按键后,数码管显示按键的状态。

数电实验二(组合电路的分析与设计)

数电实验二(组合电路的分析与设计)
ST 0 1 74LS148 2 3 4 5 6 7 Y2 Y1 Y0 YEX YS 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 1 1 1 1 0 1 0 1 0 1 0 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0
1 x x x x 0 x x x x 0 x x x x
A 0 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 Y
按左图连接电路, 按左图连接电路, 并在A、 、 接开关 接开关, 并在 、B、C接开关, Y接发光管,测定其真 接发光管, 接发光管 值表填入右表, 值表填入右表,分析其 逻辑功能。 逻辑功能。
0 0 0 1 1 1 1
实验二:SSI组合逻辑电路 实验二:SSI组合逻辑电路
一:实验目的
1、学会组合逻辑电路的分析和设计方法。 学会组合逻辑电路的分析和设计方法。 设计并验证数据选择器。 2、设计并验证数据选择器。 分析一监视交通灯的电路的逻辑功能。 3、分析一监视交通灯的电路的逻辑功能。
二:实验仪器及设备
1、数字实验箱DSB-3:1台 数字实验箱DSBDSB 2、万用表: 万用表: 1只 元器件: 7400、7410、 3、元器件: 7400、7410、7420 各一块 导线:若干。 4、导线:若干。
x x x x x x x 0 x x 0 1 x 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1
IN4 IN5 IN6 IN7 ST Y2 Y1 GND
0 x x x x 0 x x x x 0 x x x 0 0 x x 0 1 0 x 0 1 1 0 0 1 1 1 0 1 1 1 1

实验2 组合逻辑电路的设计

实验2  组合逻辑电路的设计

4. 实验内容及要求 (1) 用与非门设计实现异或逻辑功能。 a) 按照组合逻辑电路的设计方法, 列出两输入异或逻辑函数的真值表, 写出最简 与或式、与非-与非式,画出与非门实现的逻辑电路图。 b) 使用集成电路芯片 74LS10 和 74LS20 中的与非门, 按照所设计的逻辑电路图连 接电路。 c) 选择使用数字电路实验装置中的逻辑电平输入开关和逻辑电平输出 LED 指示 灯,设计实验测试方案。 d) 记录并分析实验数据参考表 2-2, 说明所设计的电路是否实现预计的异或逻辑 功能。
以二值逻辑的 0、1 两种状态分别代表输入变量和输出变量的两种不同状态。这里 0 和 1 的具体含意完全是由设计者人为选定的。
3) 根据给定的因果关系列出逻辑真值表。 举例: “大月指示器”的逻辑功能如下:输入一年中的具体月份,电路能自动判别出“大月” 还是“小月” (大月有 31 天) 。 通过分析,逻辑抽象结果为:月份输入 ABCD 可以由 4 位二进制代码表示,例如 ABCD=0001 表示输入月份为 1 月, ABCD=0010 表示 2 月, ABCD=0011 表示 3 月,...... , ABCD=1100 表示 12 月;输出 Y 的逻辑值 1 或 0 分别表示信息“大月”或“小月” ,Y=1 表 示大月,Y=0 表示小月。列出真值表如表 2-1 所示。
得到最简与或式为选定器件的类型实际逻辑问题逻辑抽象逻辑函数化简变换表达画出逻辑连接电路实现为了实现最终的逻辑函数既可以用小规模集成门电路组成相应的逻辑电路也可以用中规模集成的常用组合逻辑器件或可编程逻辑器件等构成相应的逻辑电路
实验二 组合逻辑电路的设计
1. 实验目的 (1)熟练使用数字电路实验装置设计实验方案; (2)掌握用基本门电路实现组合电路的设计方法。 (3)掌握实现组合逻辑电路的连接及调试方法。 2. 实验仪器与材料 (1)数字电路实验装置 1 台; (2)双列直插集成电路芯片 74LS10、74LS20 各 1 片,导线若干。 3 . 知识要点 (一)组合逻辑电路的设计方法

实验二组合逻辑电路实验(半加器、全加器)

实验二组合逻辑电路实验(半加器、全加器)

5、记录实验结果(三)
3.全加器组合电路的逻辑功能测试
Ai
Bi
Ci-1
Y
0
0
0
0
0
1
0
1
0
0
1
1
1
0
0
1
0
1
1
1
0
1
1
1
Z
X1
X2
X3
Si
Ci
5、记录实验结果(四)
自己设计实现逻辑函数,给出逻辑电路连接图,并连接调试。
5、记录实验结果(选做)
(1)画出用异或门、或非门和与非门实现全加器的逻辑电路图,写出逻辑表达式。 (2)找出异或门、或非门和与非门器件,按自己设计画出的电路图接线,注意:接 线时,或非门中不用的输入端应该接地。与非门中不用的输入端应该接VCC。 (3)当输入端Ai Bi Ci-1为下列情况时,测量Si和Ci的逻辑状态并填入表格中
0
0
1
0
1
1
1
0
1
1
1
输出
Y1
Y2
(1)按上图接线(注意数字编号与芯片管脚编号对应) (2)写出Y2的逻辑表达式并化简。 (3)图中A、B、C接实验箱下方的逻辑开关,Y1,Y2接实验箱上方的电平显示发光管。 (4)按表格要求,拨动开关,改变A、B、C输入的状态,填表写出Y1,Y2的输出状态。 (5)将运算结果与实验结果进行比较 。
每个小组在数字电路试验箱上找到本次实验所需要的芯片 ,并查看芯片形状是否完好,芯片管脚有没有插牢。
2、查看数字电路实验箱
74LS86
74LS00
3、了解芯片
芯片管脚示意图
4、实验内容与结果(一)

组合逻辑电路的设计实验报告

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告篇一:数电实验报告实验二组合逻辑电路的设计实验二组合逻辑电路的设计一、实验目的1.掌握组合逻辑电路的设计方法及功能测试方法。

2.熟悉组合电路的特点。

二、实验仪器及材料a)TDs-4数电实验箱、双踪示波器、数字万用表。

b)参考元件:74Ls86、74Ls00。

三、预习要求及思考题1.预习要求:1)所用中规模集成组件的功能、外部引线排列及使用方法。

2)组合逻辑电路的功能特点和结构特点.3)中规模集成组件一般分析及设计方法.4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题在进行组合逻辑电路设计时,什么是最佳设计方案?四、实验原理1.本实验所用到的集成电路的引脚功能图见附录2.用集成电路进行组合逻辑电路设计的一般步骤是:1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表;2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式;3)画出逻辑图;4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。

五、实验内容1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。

1)列出真值表,如下表2-1。

其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。

2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。

按图选择需要的集成块及门电路连线,将Ai、bi、ci接逻辑开关,输出si、ci+1接发光二极管。

改变输入信号的状态验证真值表。

2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(b),一枪打兔子(c)。

实验二 组合逻辑电路分析与设计

实验二  组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计一、实验目的1、掌握组合逻辑电路的分析方法,并验证其逻辑功能2、掌握组合逻辑电路的设计方法,并能用最少的逻辑门实现3、熟悉示波器的使用二、实验仪器及器件1、数字电路试验箱,数字万用表,示波器2、74LS00X2、74LS86X1、74S197X1三、实验原理1、组合逻辑电路的分析:对已给定的组合逻辑电路分析其逻辑功能步骤:(1)由给定的组合逻辑电路写函数式;(2)对函数式进行简化或变换;(3)根据最简式列真值表;(4)确认逻辑功能。

2.、组合逻辑电路的设计:就是按照具体逻辑命题设计出最简单的组合电路步骤:(1)根据给定的事件的因果关系列出真值表(2)由真值表写函数式;(3)对函数式进行简化或变换;(4)画出逻辑图,并测试逻辑功能。

四、实验内容1. 设计一个大代码转换电路,输入四位8421 码,输出四位循环码。

2. 用逻辑开关模拟二进制的输入,输出到0-1 显示器上3. 用集成异步下降沿触发的异步计数器74LS197 构成十六进制计数器作为代码转换电路的输入信号源。

74LS197的CLK1作为时钟输入,Q0与CLK2连接,则Q3,Q2,Q1,Q0就是十六进制计数器的输出。

将Q3,Q2,Q1,Q0接“0-1”显示器,CLK11接手动单步脉冲。

十六进制计数器工作正常后,将Q3,Q2,Q1,Q0连接到代码的输入端,作为8421码输入,注意:在把197的输出接入代码转换输入之前,先要断开原来作为8421码输入的逻辑开关。

检查电路是否正常工作。

4. 用10KHz 的方波作为计数器的脉冲,用示波器观察并记录CLK1,Q3,Q2,Q1,Q0和G3,G2,G1,G0的波形。

注意电压和电压波形图之间的相位关系。

G3G2G1G0Q D Q C Q B Q A0 0 00 0 0 0 0 00 0 01 1 0 0 0 10 0 1 1 0 0 1 00 0 1 0 0 0 1 10 1 1 0 0 1 0 00 1 1 1 0 1 0 10 1 0 1 0 1 1 00 1 0 0 0 1 1 11 1 0 0 1 0 0 01 1 0 1 1 0 0 11 1 1 1 1 0 1 01 1 1 0 1 0 1 11 0 1 0 1 1 0 01 0 1 1 1 1 0 11 0 0 1 1 1 1 01 0 0 0 1 1 1 1表(一)循环码表表(二)多功能发生电路函数表根据卡诺图,可以得到以下结果:G3=QD G2=Q3(+)Q2G1=Q2(+)Q1 G0=Q1(+)Q0逻辑电路图:波形图:CP QAQB QCQD G0G1 G2G3G2与G3相位差从上到下依次为:CP、QA、QB、QC、QD、G0、G1、G2、G3分析:1、CP与Q0同相,Q3的周期是CP的2倍2、CP与Q1同相,Q1的周期是CP的4倍3、CP与Q2同相,Q2的周期是CP的8倍4、CP与Q3同相,Q3的周期是CP的16倍5、CP与G 0同相,G0的周期是CP的4倍6、G1 超前CP 一个CP周期,G1的周期是CP的8倍7、CP与G2同相,G2的周期是CP的16倍8、G3滞后CP 4个CP周期,G3的周期是CP的16倍五、心得体会1、通过分析真值表,利用卡诺图化简,从而得出输入与输出相对应的关系。

实验二 利用MSI设计组合逻辑电路

实验二 利用MSI设计组合逻辑电路
A
B
Cn
S
Cn+1
0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
0
1
1
0
1
1
0
0
1
0
1
0
1
0
1
1
1
0
0
1
1
1
1
1
1
图(二)74LS138实现全加器逻图
2.用数据选择器实现组合逻辑电路
数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。如图(三)为双四选一数据选择器74LS153逻辑图。Y1和Y2为两个独立的输出端,S1和S2为附加控制端用于控制电路工作状态和扩展功能。 A1、A0为地址输入端。D10. D11. D12. D13或D20. D21. D22、D23为数据输入端。通过选定不同的地址代码即可从4个数据输入端选出要的一个,并送到输出端Y。输出逻辑式可写成:
实验二
一、实验目的:
1.熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能与使用方法。
2.掌握用MSI设计的组合逻辑电路的方法。
二、实验仪器及器件:
1.数字电路实验箱、数字万用表、示波器。
2.器件:74LS00X1,74LS197X1,74LS138X1,74LS151X1

中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。
例如用3线-8线译码器74LS138实现全加器。列出真值表如表(一)所示。A、B是加数与被加数,Cn是低位向本位的进位,S为本位和,Cn+1位是本位向高位的进位。由真值表可得全加器的最小项之和表达式。

实验二 组合逻辑电路分析与设计实验报告

实验二 组合逻辑电路分析与设计实验报告

实验二组合逻辑电路分析与设计实验报告
姓名:李凌峰班级:13级电子1班学号:13348060
一、实验数据与相应原理图:
1、复习组合逻辑电路的分析方法,对实验中所选的组合电路写出函数式。

设计一个代码转换电路,输入为4位8421码,输出为4位循环码。

对应的各位码如下表所示。

2、实验逻辑函数式:
实际实验逻辑表达式(用一异或门代替与或门):
3、实际实验逻辑图:
4、实际实验操作图
二、实验操作记录
1,检测转换电路:
2,实测波形图
10hz方波:
G3 G2 G1 G0波形:
B1 B2 B3 B4波形图:
由以上波形图张图绘制出总的时序图如下:
三、心得与体会
1、这次实验所用器材用了异或门74LS86和异步计数器74LS197.分析组合逻辑电路
时,要先由给定的组合逻辑电路写函数式,然后对函数式进行化简或变换,再根据最简式列真值表,最后确认逻辑功能。

设计组合逻辑电路时,则应先根据给定事件的因果关系列出真值表,然后由真值表写函数式,再对函数式进行化简或变换,最后画出逻辑图,并测试逻辑功能。

2、对示波器的操作仍不够熟悉,在将示波器连接到实验箱的测试端时总是忘了要接地,
致使示波器显示信号不正常。

3、在比较波形时,借用同学的接口同时加载4个波形容易做出总的时序图。

数电实验二 组合逻辑电路

数电实验二  组合逻辑电路

实验二 组合逻辑电路一、实验目的1、熟悉组合逻辑电路的一些特点及一般分析、设计方法。

2、熟悉中规模集成电路典型的基本逻辑功能和简单应用设计。

二、实验器材1、直流稳压电源、数字逻辑电路实验箱、万用表、示波器2、74LS00、74LS04、74LS10、74LS20、74LS51、74LS86、74LS138、74LS148、74LS151、 74LS153三、实验内容和步骤 1、组合逻辑电路分析(1)图2-1是用SSI 实现的组合逻辑电路。

74LS51芯片是“与或非”门(CD AB Y +=), 74LS86芯片是“异或”门(B A Y ⊕=)。

建立实验电路,三个输入变量分别用三个 逻辑开关加载数值,两个输出变量的状态分别用两只LED 观察。

观察并记录输出变 量相应的状态变化。

整理结果形成真值表并进行分析,写出输出函数的逻辑表达式, 描述该逻辑电路所实现的逻辑功能。

(2)图2-2和2-3是用MSI 实现的组合逻辑电路。

图2-2中的74LS138芯片是“3-8译码 器”,74LS20芯片是“与非”门(ABCD Y =)图2-3中的74LS153芯片是四选一 数据选择器。

建立实验电路,对两个逻辑电路进行分析,列出真值表,写出函数的逻 辑表达式,描述逻辑电路所实现的功能。

图2-1:SSI 组合逻辑电路图2-2 :MSI 组合逻辑电路(74LS138)2、组合逻辑电路设计(1)SSI 逻辑门电路设计——裁判表决电路举重比赛有三名裁判:一个主裁判A 、两个副裁判B 和C 。

在杠铃是否完全举起裁 决中,最终结果取决于至少两名裁判的裁决,其中必须要有主裁判。

如果最终的裁决 为杠铃举起成功,则输出“有效”指示灯亮,否则杠铃举起失败。

(2)MSI 逻辑器件设计——路灯控制电路用74LS151芯片和逻辑门,设计一个路灯控制电路,要求能够在四个不同的地方都 能任意的开灯和关灯。

四、实验结果、电路分析及电路设计方案1、组合逻辑电路分析 (1)图2-1: 逻辑表达式:)()(11i i i i i i i i i i B A C S B A C B A C ⊕⊕=⊕+=--逻辑功能:实现A i 、B i 、C i-1三个一位二进制数 的加法运算功能,即全加器。

数电实验二 组合逻辑电路

数电实验二 组合逻辑电路

实验二 组合逻辑电路一、实验目的1.掌握组和逻辑电路的功能测试。

2.验证半加器和全加器的逻辑功能。

3.学会二进制数的运算规律。

二、实验仪器及器件1.仪器:数字电路学习机2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片三、实验内容1.组合逻辑电路功能测试(1).用2片74LS00按图2.1连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

(2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示(3).按表2.1要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。

(4).将运算结果与实验比较。

Y1=A+B2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。

根据半加器的逻辑表达式可知,半加器Y 是A 、B 的异或,而进位Z 是A 、B 相与,故半加器可用一个集成异或门和二个与非门组成,如图2.2。

(1).用异或门和与非门接成以上电路。

输入A 、B 接电平开关,输出Y 、Z 接电平显示。

(2).按表2.2要求改变A 、B 状态,填表。

3.测试全加器的逻辑功能。

(1).写出图2.3电路的逻辑表达式。

(2).根据逻辑表达式列真值表。

(3).根据真值表画逻辑函数SiCi 的卡诺图。

111S i C i4.测试用异或门、与或门和非门组成的全加器的功能。

全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或非门和一个与非门实现。

(1).写出用异或门、与或非门和非门实现全加器的逻辑表达式,画出逻辑电路图。

(2).连接电路图,注意“与或非”门中不用的“与门”输入端要接地。

(3).按表2.4记录Si 和Ci 的状态。

1-⊕⊕=i i C B A S ,AB C B A C i i +⊕=-1)(A i S iB i+ C i C i-1四、 1.整理实验数据、图表并对实验结果进行分析讨论。

实验二组合逻辑电路的分析与设计

实验二组合逻辑电路的分析与设计

实验二:组合逻辑电路分析与设计姓名: 夕何【实验目的】1.掌握组合逻辑电路的分析方法,并验证其逻辑功能。

2.掌握组合逻辑电路的设计方法,并能用最少的逻辑门实现之。

3.熟悉示波器的使用。

【实验仪器及器件】【实验过程及结果分析】1.代码转换电路的设计已知4位输入8421码为表1,4位输出循环码如表2表1 BCD码表2 GRAY码D C B A0 0 0 00 0 0 1将表1中ABCD 作为自变量,表2中3G ~0G 各自作为因变量可得到四张真值表,即可得出3G ~0G 各自与ABCD 的逻辑函数式如下D G =3 (1)D C G ⊕=2 (2) C B G ⊕=1 (3)0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 11 0 0 11 0 1 01 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1113G2G1G0G0 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 1 1 0 0 1 1 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 0 10 1 0 10 1 1 1 0 0 1 1B A G ⊕=0 (4)根据老师要求,将G 2和G 1的逻辑表达式变换为: G 2=((C’D)’(CD’)’)’ (5) G 1=((C’B)’(CB’)’)’ (6)由函数式(1)(5)(6)(4)可得如图(1)所示电路图:图(1)AltiumDesigner 本实验电路图2.实际电路图如图(2)所示图(2)实际电路图测试:将ABCD 分接逻辑开关的各输入端口,3G ~0G 接入“0-1”显示器检测,结果如表 3,实验结果:以10KHz 方波作为计数器的脉冲,一GO 位基准,得到各个端口的输出波形: (1)G0 与G1的波形如图(3)所示,其中上边的波形为G0,下边的波形为G1;(2)G2与G0的波形图如图(4)所示,其中上边为G2,下边为G0图(4)(3)G2与G3波形图对比如图(5)所示,其中上边的波形为G2,下边波形为G3。

数电实验报告 实验二 利用MSI设计组合逻辑电路

数电实验报告 实验二  利用MSI设计组合逻辑电路

实验二利用MSI设计组合逻辑电路【实验目的】1.熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能和使用方法2.掌握用MSI设计的组合逻辑电路方法【实验仪器】1.数字电路实验箱、数字万用表、示波器2.虚拟器件:74LS00、74LS197、74LS138、74LS151 74LS73 74LS86【实验设计与分析】1.数据分配器(1)由数据分配器真值表分析可知,当D=0时,全线路输出为1,而当D=1时,F0~F7输出与地址端ABC相关,二进制地址值代表的十进制数n刚好为D’,而其他位值为1,’= A’B’C’F即F= (A’B’C’)’同理F1= (A’B’C)’F3= (A’BC)’F4= (A B’C’)’F5= (AB’C)’F6= (ABC’)’F7= (ABC)’Y O = GsS2’S1’S’即Y 0’= (Gs’S2’S1’S’)’同理Y 1’= (Gs’S2’S1’S)’Y 3’= (Gs’S2’S1S)’Y 4’= (Gs’S2S1’S’)’Y 5’=(Gs’S2S1’S)’Y 6’= (Gs’S2S1S’)’Y 7’= (Gs’S2S1S)’显然当Gs=1时, Y’= F(3)对比(2)中不同Gs条件下译码器和数据分配器的真值表可以发现,当另Gs’与数据输入D信号一致,S2~S0作为地址段输入的A B C,两者真值表一致,即使译码器变成了数据分配器。

Gs输入端有3端,令G1为数据D输入,其他两端接低电平。

(4)电路设计(5)仿真波形2.LU(Logic Unit逻辑单元)设计(1)分析LU功能,得出如下真值表利用74LS151实现数据选择,那么无需计算逻辑表达式,无需卡诺图化简(2)16行真值化简为8行真值若用2组74LS151,可联合成16路输出,若只用1组74LS151,则需要把Y的值与其中一个输入变量联合起来12351X 0=X4=S1X6=X7=S1’故简化成了设计(3)根据(1)&(2)的真值表分析,可以发现有4组输入变量,1组输出变量,其中s1通过把数据输入端的值与S1关联而简化,故利用3组变量实现了16组输出结果。

实验2 组合逻辑电路功能分析

实验2 组合逻辑电路功能分析

实验二 组合逻辑电路功能分析与设计一、 实验目的:1、了解组合逻辑电路的特点;2、掌握组合逻辑电路功能的分析方法;3、学会组合逻辑电路的连接方法;4、掌握组合逻辑电路的设计方法。

二、实验原理:1、组合逻辑电路的特点:组合逻辑电路可以有一个或多个输入端,也可以有多个输出端;在组合逻辑电路中,数字信号是单向传递的,只有从输入到输出的传递; 输出信号只与输入的即时状态有关2、组合逻辑电路的分析方法:真值表法;卡洛图法;逻辑表达式法;逻辑电路图法;3、组合逻辑电路的设计步骤:实际的逻辑问题→定义输出和输入变量→真值表→选定器件类型→化简→最简表达式→逻辑图 三、实验器件集成块:74LS00、74LS04、74LS08、74LS32 四、实验内容: (一)、组合逻辑电路功能分析分析图4-1所示电路的逻辑功能: 由电路图所得的逻辑表达式:Y=AB+A B =A ☉B逻辑功能:用与门和与非门实现同或(二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。

)1、设计一个举重裁判表决器。

设举重比赛有三个裁判,一个主裁判和两个副裁判。

杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。

只有当两个或两个以上裁判(其中必须有主裁判)判明成功时,表示“成功”的灯才亮。

(要求用与非门实现)设A 为主裁判的判定;B ,C 分别为两位副裁判的判别(0为裁判不确定,1为裁判确定);Y=0表示不成功,Y=1表示成功。

1图4-1由真值表得到的逻辑表达式为:Y=AB+AC=ACAB∙电路图为:2、某设备有开关A、B、C,要求仅在开关A接通的条件下,开关B才能接通;开关C 仅在开关B接通的条件下才能接通。

违反这一规程,则发出报警信号。

设计一个由与非门组成的能实现这一功能的报警控制电路。

(要求用与非门实现)设A,B,C分别为三个开关(0表示开关断开,1表示开关闭合);Y=0表示不违反规程,Y=1表示违反规程,将发生报警信号。

数电实验报告:实验2-组合逻辑电路138

数电实验报告:实验2-组合逻辑电路138

GDOU-B-11-112广东海洋大学学生实验报告书(学生用表)实验名称课程名称课程号学院(系) 专业班级学生姓名学号实验地点实验日期实验2 组合逻辑电路——138芯片一、实验目的1、掌握中规模集成译码器的逻辑功能和使用方法2、熟悉数码管的使用二、实验原理译码器是一个多输入、多输出的组合逻辑电路。

它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。

译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。

不同的功能可选用不同种类的译码器。

译码器可分为通用译码器和显示译码器两大类。

前者又分为变量译码器和代码变换译码器。

1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。

若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。

而每一个输出所代表的函数对应于n个输入变量的最小项。

以3线-8线译码器74LS138为例进行分析,图6-1(a)、(b)分别为其逻辑图及引脚排列。

其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。

表6-1为74LS138功能表当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。

当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

(a) (b)图6-1 3-8线译码器74LS138逻辑图及引脚排列表6-1件就成为一个数据分配器(又称多路分配器),如图6-2所示。

若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。

若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。

实验二 组合逻辑电路分析与设计

实验二  组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计一、实验目的1.掌握组合逻辑电路的分析方法与测试方法;2.掌握组合逻辑电路的设计方法。

二、实验预习要求1.熟悉门电路工作原理及相应的逻辑表达式;2.熟悉数字集成电路的引脚位置及引脚用途;3.预习组合逻辑电路的分析与设计步骤。

三、实验原理通常, 逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。

电路在任何时刻, 输出状态只决定于同一时刻各输入状态的组合, 而与先前的状态无关的逻辑电路称为组合逻辑电路。

1.组合逻辑电路的分析过程, 一般分为如下三步进行:(1)由逻辑图写出输出端的逻辑表达式;(2)画出真值表;(3)根据对真值表进行分析, 确定电路功能。

2. 组合逻辑电路的一般设计过程为图实验2.1所示。

设计过程中, “最简”是指电路所用器件最少, 器件的种类最少, 而且器件之间的连线也最少.四、实验仪器设备1. TPE-ADⅡ实验箱(+5V电源, 单脉冲源, 连续脉冲源, 逻辑电平开关, LED显示, 面包板数码管等)1台;2. 四两输入集成与非门74LS00 2片;3. 四两输入集成异或门74LS86 1片;4. 两四输入集成与非门74LS20 3片。

五、实验内容及方法1. 分析、测试74LS00组成的半加器的逻辑功能。

(1)用74LS00组成半加器, 如图实验2.2所示电路, 写出逻辑表达式并化简, 验证逻辑关系。

Z1=AB;Z2= Z1A = ABA;Z3= Z1B = ABB;Si= Z2Z3 = ABA ABB = ABA+ABB = AB+ AB = A + B;Ci = Z1A = AB;(2)列出真值表。

(3)分析、测试用异或门74LS86与74LS00组成的半加器的逻辑功能, 自己画出电路, 将测试结果填入自拟表格中, 并验证逻辑关系。

评价: 通过这种方法获得测试结果和上述电路完全相同, 并且在有异或门的情况下实现较为简单, 所以我们应当在设计的时候在条件允许的情况实现最简。

实验二组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计

实验二组合逻辑电路分析与设计一.实验目的1.掌握小规模(SSI)组合逻辑电路的分析与设计方法。

2.熟悉常用中规模(MSI)组合逻辑部件的功能及其应用。

_3.观察组合电路的竞争-冒险现象,了解消除冒险现象的方法。

二.实验设备与器件双踪示波器:DS1062C 函数信号发生器:SG1651 数字实验箱:THD-4 数字万用表:MS8222D实验器件: 74LS00、74LS02、74LS20、74LS54、74LS83、 74LS86、74LS138、74LS151 三.实验内容(一) 组合逻辑电路的分析1.分析图16-1所示“一位数值比较器”电路的逻辑功能,说明其逻辑关系与实际意义,并将验证测试结果填入表16-1。

表16-1 输入 A B 0 0 0 1 1 0输出 F 1 F 2F 31 1_2.分析图16-2所示“四位二进制原码/反码转换”电路的逻辑功能,按照表16-2选取其中一位作出分析,并记录测试结果。

表16-2控制输入输出K 0 1A i 0 1 0 1Y i 3.分析图16-3采用MSI芯片(3-8译码器)构成的组合逻辑电路,正确连接各引脚并供电,然后测试电路功能,结果填入表16-3。

表16-3输入 A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 注:当、m i 系 A 2 A 1 A 0 的最小项(参见附录Ⅳ中 74LS138真值表)。

_4.分析图16-4“8421BCD码-8421余3码转换电路”的逻辑功能,将测试结果填入表16-4。

注: 74LS83资料见附录Ⅳ。

表16-4 输入 A 3 A 2 A 1 A 0 0 0 0 0 0 0 0 1输出 S 3 S 2 S 1 S 0输出 F时,译码器输入输出逻辑关系为:0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 11 0 1 0 1 0 1 1,试用一片74LS54(四组输入与或非门)1 1 0 01 1 0 11 1 1 0 1 1 1 1(二) 组合逻辑电路的设计与测试 1.逻辑函数为:设计其组合逻辑电路。

组合逻辑电路分析与设计实验报告

组合逻辑电路分析与设计实验报告

一、页组合逻辑电路分析与设计实验报告二、目录1.页2.目录3.摘要4.背景和现状分析4.1逻辑电路的基础概念4.2组合逻辑电路的应用领域4.3当前组合逻辑电路设计的挑战5.项目目标5.1实验目的和预期成果5.2技术和方法论5.3创新点和实际应用6.章节一:逻辑门和基本组合电路7.章节二:组合逻辑电路的设计方法8.章节三:实验操作和数据分析9.章节四:实验结果和讨论10.结论与建议三、摘要四、背景和现状分析4.1逻辑电路的基础概念逻辑电路是数字电路的基本组成部分,它们执行基本的逻辑运算,如与、或、非等。

组合逻辑电路(CLC)是由多个逻辑门组成的电路,其输出仅取决于当前输入的组合,而与电路以前的状态无关。

这种电路广泛应用于各种电子设备中,从计算机处理器到简单的电子玩具。

4.2组合逻辑电路的应用领域组合逻辑电路在现代技术中扮演着关键角色。

它们是计算机处理器、数字信号处理器、通信设备和其他许多电子系统的基础。

随着技术的进步,组合逻辑电路的设计和应用也在不断扩展,例如在、物联网和高速通信领域。

4.3当前组合逻辑电路设计的挑战尽管组合逻辑电路的设计原理相对简单,但在实际应用中面临着一系列挑战。

这些挑战包括提高电路的速度和效率、减少能耗、以及设计更复杂的逻辑功能。

随着集成电路尺寸的不断缩小,量子效应和热效应也对电路的设计和性能提出了新的挑战。

五、项目目标5.1实验目的和预期成果本实验的主要目的是深入理解和掌握组合逻辑电路的设计原理和实验方法。

预期成果包括成功设计和实现一个具有特定功能的组合逻辑电路,并对其进行性能分析。

5.2技术和方法论实验将采用现代电子设计自动化(EDA)工具进行电路设计和仿真。

实验方法将包括理论分析、电路设计、仿真测试和性能评估。

5.3创新点和实际应用本实验的创新点在于探索新的设计方法和优化技术,以提高组合逻辑电路的性能和效率。

实验成果将有望应用于实际电子产品的设计和开发,特别是在需要高性能和低功耗的场合。

实验二 SSI组合逻辑电路的设计

实验二 SSI组合逻辑电路的设计

姓名学号专业班级实验日期
实验二:SSI组合逻辑电路的设计
实验目的:
(1)掌握基本逻辑门设计实用逻辑电路的方法。

(2)使用与非门设计交通信号灯简单故障监测电路,使用与非门设计一个两位二进制数比较电路。

实验报告:
(1)请画出实验所需芯片的外引线排列图,并在写出对应的功能表达式。

(2)请简要回答组合逻辑电路设计的一般步骤。

(3)使用与非门(74LS00和74LS20均可)设计交通信号灯简单故障监测电路。

设交通信号灯工作状态如下:红、黄、绿灯各一只亮为正常;绿灯与黄灯同时亮也为正常;其余情况为不正常,监测电路应发出报警信号(LED灯亮)。

写出设计过程、电路图,并标注引脚。

(4)使用与非门(74LS00和74LS20均可)设计一个两位二进制数比较电路。

设A=A2A1,B=B2B1,当A>B时,输出Z=1,否则Z=0。

写出设计过程、电路图,并标注引脚。

(5)思考题:若只用74LS00设计交通信号灯简单故障监测电路,请写出表达式的变换过程。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验二组合逻辑电路功能分析与设计
一、实验目的:
1、了解组合逻辑电路的特点;
2、掌握组合逻辑电路功能的分析方法;
3、学会组合逻辑电路的连接方法;
4、掌握组合逻辑电路的设计方法。

二、实验原理:
1、组合逻辑电路的特点:
组合电路的输出只与当时输入的有关,而与电路以前的状态无关,即输出与输入的关系具有及时性,不具备记忆功能。

2、组合逻辑电路的分析方法:
a写表达式:一般方法是从输入到输出逐级写出逻辑函数的表达式。

b化简:利用公式法和图行法进行化简,得出最简的函数表达式。

c列真值表:根据最简函数表达式列出函数真值表。

d功能描述:判断该电路所完成的逻辑功能,做出简要的文字描述,或进行改进设计。

3、组合逻辑电路的设计步骤:
a根据设计的要求列出真值表。

B根据真值表写出函数表达式。

C化简函数表达式或做适当的形式转换。

D画出逻辑电路图。

三、实验器件
集成块:74LS00、74LS04、74LS08、74LS32
四、实验内容:
(一)、组合逻辑电路功能分析
当电路A,B都输入0或1时,Y值输出为1;
当电路A,B输入为不一样的值时,Y值输出为0.
1图4-1
(二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。


1、设计一个举重裁判表决器。

设举重比赛有三个裁判,一个主裁判和两个副裁判。

杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。

只有当两个或两个以上裁判(其中必须有主裁判)
判明成功时,表示“成功”的灯才亮。

(要求用与非门实现)
设输入变量:主裁判为A ,副裁判分别为B ,C ,按下按钮为1,不按为0;输出变量:表示成功与否用Y 表示,灯亮为1,不亮为0,根据题意可以列出如图的真值表。

Y=AB ==
*AC ==
2、某设备有开关A 、B 、C ,要求仅在开关A 接通的条件下,开关B 才能接通;开关C 仅在开关B 接通的条件下才能接通。

违反这一规程,则发出报警信号。

设计一个由与非门组成的能实现这一功能的报警控制电路。

(要求用与非门实现)
设输入变量:开关分别为A ,B ,C ;输出变量:报警器为Y ,报警为1,不报警为0,根据题意可以列出如图的真值图。

Y=AC -=
*AB -=
*BC -=
3、设计一个路灯控制电路,要求实现的功能是:当总电源开关闭和时,安装在三个不同地方的三个开关都能独立地将灯打开或熄灭;当总电源开关断开时,路灯不亮。

(要求用异或门和与门实现)设输入变量:总开关为M,三个地方的开关分别为A,B,C;输出变量路灯亮与不亮为Y,亮为1,不亮为0,真值图如图所示。

4、设计全减器,(要求用与非门实现)
设输入变量:减数为A,被减数为B,来自低位借位为M;输出变量:结果用N表示,是否借位用Y表示,借位表示为1,反之为0,真值表如图所示。

五、实验总结与体会:。

相关文档
最新文档