四选一数据选择器的设计

合集下载

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计实验目的:设计并实现一个四选一数据选择器,可以将四个输入信号中的一个作为输出信号进行传递。

实验原理:四选一数据选择器是多路选择器的一种,主要由输入端、控制端和输出端组成。

输入端有四个信号输入线,控制端有两个控制输入线,输出端有一个信号输出线。

通过控制输入线的不同组合,可以选择其中一个输入信号传递到输出端。

实验材料与器件:1.1片74LS153(二选四数据选择器)芯片2.4个开关按钮3.连接线4.电源线5.示波器(可选)实验步骤:1.连接电路:a. 将芯片74LS153的Vcc引脚连接到正极电源线,将GND引脚连接到负极电源线。

b.将芯片的1A、1B、2A、2B四个输入引脚分别连接到四个开关按钮。

c.将芯片的S0、S1两个控制输入引脚分别连接到两个控制开关按钮。

d.将芯片的Y输出引脚连接到输出信号线。

e.将电源线接入电源插座,通电。

2.设置控制输入:a.初始状态下,所有控制输入引脚都为低电平状态。

b.可以通过控制两个开关按钮的开关状态来改变控制输入引脚的电平。

3.输出结果观测:a.打开示波器,将其输入端连接到芯片的输出引脚,设置为观测模式。

b.通过改变控制输入的电平状态,可以选择不同的输入信号进行输出。

c.观察示波器上的输出信号波形,确保输出信号与选择的输入信号一致。

4.实验记录:a.记录不同控制输入状态下的输入信号及输出信号。

b.通过对比观测结果,验证芯片的正常工作。

实验注意事项:1.连接电路时,注意电源接线正确,避免短路或电路损坏。

2.实验过程中操作电路和仪器时,保持手部干燥,并确保安全。

3.实验完成后,关闭电源,将电路和仪器恢复原状,并整理实验记录。

4.若没有示波器,可以通过观察输出信号线连接的LED灯的亮灭来判断输出信号的状态。

实验结果与讨论:在实验中,我们设计并实现了一个四选一数据选择器,并通过改变控制输入的电平状态来选择不同的输入信号进行输出。

通过观察观测结果,我们可以发现当控制输入引脚的电平状态为低-低时,选择1A作为输出;当为低-高时,选择1B作为输出;当为高-低时,选择2A作为输出;当为高-高时,选择2B作为输出。

数据选择器设计组合逻辑电路例题

数据选择器设计组合逻辑电路例题

用数据选择器设计组合逻辑电路一、用一片四选一数据选择器实现逻辑函数:BC C A C AB Y ++=////要求写出分析与计算过程并画出连线图。

四选一数据选择器的功能表及逻辑图如下图所示。

解一:(1)选A 、B 作为数据选择器的地址码A 1、A 0,将逻辑函数变形为:)()()1()(//////////////////C AB C AB B A C B A ABC BC A BC A C B A C AB BC C A C AB Y +++=++++=++=(2)将变形后的逻辑函数与四选一数据选择器的输出逻辑式进行比较得:013/0120/11/0/10A A D A A D A A D A A D Y +++=C D C D D C D ====3/21/0;;1;(3)连接电路:解二:(1)、写出四选一数据选择器的逻辑表达式:S A A D A A D A A D A A D Y ⋅+++=)(013/0120/11/0/10(2)、把所求逻辑函数的表达式变形:C AB C AB B A C B A ABC BC A BC A C B A C AB BC C A C AB Y )()(1)()(//////////////////++⋅+=++++=++=(3)、确定电路连接:将上述两个表达式进行比较,可知应令:,即1=S 0/=S 01;A B A A ==C D C D D C D ====3/21/0;;1;(4)、画出连接图:二、试用一片四选一数据选择器实现逻辑函数:C B A AC BC A Y ''++''=要求写出详细的设计过程并画出连线图。

四选一数据选择器的功能表及逻辑图如图(a )、(b)所示。

解:(1)、把所求逻辑函数的表达式变形:C AB C AB C B A C B A C B A ABC C AB BC A C B A AC BC A Y )()'(')'()''('''''''''+++=+++=++=(2)、确定电路连接:四选一数据选择器的逻辑表达式为:S A A D A A D A A D A A D Y ⋅+++=)(013/0120/11/0/10两个表达式进行比较,可知应令:;; 0'=S 01;A B A A ==CD C D C D C D ====3210;;';(3)、画出连接图:三、用一片四选一数据选择器设计一个3变量的多数表决电路。

基于FPGA实现四选一选择器的设计

基于FPGA实现四选一选择器的设计

基于FPGA实现四选一选择器的设计摘要本文是基于FPGA的四选一选择器的设计:基于FPGA在数据选择方面的优势,把FPGA作为选择器的控制核心;主要研究如下:软件部分——四选一选择器程序。

针对数据选择器的设计,对FPGA进行了型号选择,使用VHDL语言在型号FLEX10K/20RC208-4上编写了四选一选择器的程序,通过使能端的控制,从而达到四选一的功能。

硬件部分——使用protel画出原理图并作出PCB板。

本设计采用了单八路模拟开关CD4051,通过输入端的控制,选择一路信号,通过ADC0804模数转化,输出8路信号给FLEX10K/20RC208-4,经FLEX10K/20RC208-4处理后,接TCL7528后,将数字信号转换为模拟信号并输出。

本文通过软件和硬件的两个方面的设计,实现了四选一选择器的功能。

关键词:FPGA ;VHDL ;PROTEL ;选择器内蒙古科技大学毕业设计说明书(毕业论文)FPGA implementation based on four selected design of a selectorAbstrateThis article is based on a choice selection of four FPGA Design: FPGA-based data selection in the advantages of the FPGA as a selector control center; main research are as follows:Software parts - 4 election a choice program. Design for data selection, model selection on the FPGA, using the VHDL language in the model FLEX10K/20RC208-4 four selected to write a program selector, by enabling the client to control, so as to achieve a function of four elections.Hardware - protel schematic drawing and make a PCB board. This design uses a single eight-way analog switches CD4051, through the control input, select the way the signal, ADC0804 module through the transformation of the output signal 8 to FLEX10K/20RC208-4, by FLEX10K/20RC208-4 treatment, then TCL7528, will digital signal is converted to analog signals and output.In this paper, two aspects of hardware and software design, implementation, choose one of four selector functions.Keywords: FPGA ; VHDL ; ROTEL ; selectorI目录摘要 .............................................................................................................................................. Abstrate (I)第一章绪论 01.1 FPGA简介 01.1.1 FPGA发展现状 01.1.2 FPGA的发展趋势 (1)1.1.3 FPGA基本结构 (1)1.1.4 FPGA的特点 (3)1.1.5 FPGA选型 (4)1.1.6 FPGA设计原则 (5)1.2本论文研究的主要内容 (6)第二章FPGA芯片设计 (7)2.1 BTYG-EDA实验开发系统简介 (7)2.1.1 BTYG-EDA实验开发系统特点 (7)2.1.2 BTYG-EDA实验开发系统资源介绍 (7)2.1.3 主芯片引脚和外部硬件连接关系 (7)2.2 FLEX10K/20RC208-4 (11)2.3 本章小结 (13)第三章MAX+plus II软件和VHDL语言――软件部分 (14)3.1 MAX+plus II开发软件 (14)3.1.1 MAX+plus II功能特点 (14)3.1.2 MAX+plus II系统要求 (15)3.1.3 MAX+plus II设计流程 (15)3.2 VHDL语言简介 (18)3.2.1 VHDL基本介绍 (18)3.2.2 VHDL语言的特点 (19)3.2.3 VHDL系统优势 (20)II内蒙古科技大学毕业设计说明书(毕业论文)3.2.4 VHDL程序基本结构 (20)3.3 MAX十plus II中硬件描述语言输入的设计过程 (21)3.3.1 输入VHDL文件 (21)3.3.2 将文件指定为设计项目 (21)3.3.3 项目编译 (22)3.3.4 项目仿真 (22)3.4 程序仿真介绍及仿真图 (22)3.5本章小结 (24)第四章protel99——硬件部分 (25)4.1 protel简介 (25)4.1.1 Protel99SE的功能特点 (25)4.1.2 用Protel99SE进行电路设计的步骤 (26)4.2 四选一选择器的原理图及各元件介绍 (26)4.3 本章小结 (33)第五章总结与展望 (34)5.1 取得的成果 (34)5.2 工作展望 (34)参考文献 (35)附录A (36)附录B (37)致谢 (39)III第一章绪论1.1 FPGA简介1.1.1 FPGA发展现状当今社会是数字化的社会,是数字集成电路广泛应用的社会,数字集成电路本身在不断地进行更新换代。

(VHDL实验报告)四选一数据选择器的设计

(VHDL实验报告)四选一数据选择器的设计
3)点击 Add Hardware 按钮,出现 Add Hardware 对话框,在 Add Hardware 对话 框中,从 Hardware type 列表中选择所需要硬件类型,如果是 USB 接口的请参照用户使用手册 中的 USB 电缆的安装与使用,如果使用的是并口下载线则选取如下图 所示的硬件类型,点击 OK按钮,完成对硬件类型的设置。回到编程器硬件设置窗口, 点击 Close 按钮退出设置。则在 编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。 4)此次实验室所用的安装驱动的方式为:右键“我的电脑”--设备管理器--双击有 黄色问号通用USB--驱动程序--更新驱动程序--从列表或安装……--下一步--下一步--从磁盘安 装--浏览--在我的电脑D盘中选择找到USB bluster即可安装好驱动。 5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个 工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加 载文件后,再点选 Progam/Configure,编程模式选取 JTAG 模式,点击 STRAT进行文件加载, 直到加载进度变为 100%,文件成功加载完成。
五、实验步骤
2、建立文本设计文件
1)建立另一工程(注意不要和原来的图形设计文件工程重名), 在创建好设计工程后,选择 File--New--Device Design Files--VHDL File,点击OK按钮,打开进入文本编辑器对话框。 2)在文本编辑器中输入对应VHDL程序代码(本次实验则需输入 四选一数据选择器的代码,注意实体名与所建工程名的实体名的一致 性),本次实验我所用的VHDL顺序语句代码是CASE语句,其并行语句的 代码用的是条件信号赋值语句,具体代码如下图所示: 3)对文本文件进行编译。选择processing--compiler tool-start或直接点快捷栏上的三角形则会出现编译器窗口。需要说明的是在 进行设计文件的综合和分析,也可以单独打开某个分析综 合过程不必进 行全编译界面。当完成上述窗口的设定后,点击 START 按钮进行设计文 件的全编译。如果文件有错,在软件的下方则会提示错误的原因和位置, 以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示 编译成功。

quartus(4选1和编码器)

quartus(4选1和编码器)

课程名称: FPGA原理实验实验名称:选择与编码器姓名: xxx 学号:xxx一、预习报告1、实验目的1、设计并实现4选1选择器2、设计并实现一个8线-3线优先编码器2、实验内容与实验步骤1、启动QuartusⅡ建立一个空白工程,然后命名;2、新建VHDL源程序文件并命名,输入程序代码并保存,进行综合编译,若在过程中发现错误,则找出并更正错误,直至编译成功为止;3、新建仿真文件,对各模块设计进行仿真,验证设计结果;4、选择目标器件,将未使用的管脚设置为三态输入;5、对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。

3、实验环境计算机(装有QuartusⅡ软件)二、实验报告1、实验数据处理4选1数据选择器(1)程序输入课程名称: FPGA原理实验实验名称:选择与编码器姓名: xxx 学号:xxx (2)RTL图(3)功能仿真(4)时序仿真课程名称: FPGA原理实验实验名称:选择与编码器姓名: xxx 学号:xxx (5)引脚分配8线-3线优先编码器(6)程序输入课程名称: FPGA原理实验实验名称:选择与编码器姓名: xxx 学号:xxx (7)RTL图(8)功能仿真(9)时序仿真课程名称: FPGA原理实验实验名称:选择与编码器姓名: xxx 学号:xxx(10)引脚分配2、实验结论成功实现了4选1数据选择器和8线-3线优先编码器的逻辑功能。

3、实验体会和建议通过本次实验,学会了选择器和编码器的程序,加深了when-else语句和if语句的使用,对选择器和编码器的原理与逻辑功能有了进一步的了解。

双4选1数据选择器实现8选1真值表

双4选1数据选择器实现8选1真值表

双4选1数据选择器实现8选1真值表在数字逻辑电路中,数据选择器是一种常见的集成电路,它通常用于从多个输入信号中选取一个输出信号。

其中,双4选1数据选择器是一种特殊的选择器,它有两个数据输入端,一个双输入选择端和一个输出端。

而8选1真值表是一种逻辑表,其中有8个输入和1个输出,用来描述逻辑门的功能和行为。

在本文中,我们将探讨如何通过双4选1数据选择器来实现8选1真值表的功能,以及其在数字逻辑电路中的应用。

1. 双4选1数据选择器的基本原理和结构双4选1数据选择器是由两个4选1数据选择器和一个双输入选择端组成的。

其基本原理是根据选择端的输入信号来决定输出端连接的哪一个数据输入端。

具体而言,当选择端的输入信号为00时,输出端连接第一个数据输入端的信号;当选择端的输入信号为01时,输出端连接第二个数据输入端的信号;当选择端的输入信号为10时,输出端连接第三个数据输入端的信号;当选择端的输入信号为11时,输出端连接第四个数据输入端的信号。

2. 实现8选1真值表的过程要实现8选1真值表的功能,首先需要将8个输入信号分别连接到两个双4选1数据选择器的数据输入端。

根据8个输入信号的组合,将选择端的输入信号设置为相应的二进制数。

当输入信号为000时,选择端的输入信号为00;当输入信号为001时,选择端的输入信号为01;依此类推。

根据选择端的输入信号来确定输出端连接的数据输入端,从而得到输出信号。

3. 应用及意义双4选1数据选择器实现8选1真值表在数字逻辑电路中有着广泛的应用。

在多路选择器、译码器和多功能逻辑电路中,都可以采用双4选1数据选择器实现8选1真值表的功能。

其优点是占用空间小、功耗低、成本低、性能稳定。

它可以通过逻辑门的组合来实现多种逻辑功能,具有很强的灵活性和通用性。

4. 个人观点和理解在我看来,双4选1数据选择器实现8选1真值表的功能是一种非常巧妙的设计。

通过利用双4选1数据选择器的特性,可以将多个输入信号转换成一个输出信号,实现信号的选择和控制。

四选一多路选择器实验报告

四选一多路选择器实验报告

实验报告学院:电气工程学院专业:电子信息工程班级:姓名学号实验组实验时间指导教师成绩实验项目名称四选一多路选择器实验目的1.学习组合逻辑电路、编码器的功能与定义,学习Verilog和VHDL语言2.熟悉利用Quartus II开发数字电路的基本流程和Quartus II软件的相关操作3.学会使用Vector Wave波形仿真实验要求按照老师的要求完成实验,编写实验报告实验原理选择器常用于数字信号的切换 四选一选择器可以用于4路信号的切换。

四选一选择器有4个输入端input0、1、2、3.两个信号控制端a,b及一个信号输出端y。

当a,b输入不同的选择信号时 就可以使input0-input3中的一个输入信号与输出y端口接通。

用拨码开关作四位数据及两位控制端的输入 LED作输出 通过拨码开关组成控制输入端s1和s0不同组合 观察LED 与数据输入端a,b,c,d的关系 验证4选一数据选择器设计的正确性。

实验仪器软件:Altera Quartus II 9.0 集成开发环境。

实验步骤 1.选择“开始”→“所有程序”→“Altera”→“Quartus II 9.0”→“Quartus II 9.0(32bit)”,启动软件。

2.选择“File”→“New Project Wizard”,出现“Introduction”页面,如图所示,该页面介绍所要完成的具体任务。

3.单击“Next”按钮,进入工程名称的设定、工作目录的选择。

4.在对话框中第一行选择工程路径;第二行输入工程名,第三行输入顶层文件的实体名6.新建设计文件,选择“File|New”,在New对话框中选择Device Design Files下的Verilog File,单击OK,完成新建设计文件。

7.在新建设计文件中输入Verilog程序.8.结果仿真实验内容编写四选一电路的VHDL代码并仿真,编译下载验证实验数据一:实验程序:LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;ENTITY mux4 ISPORT(input:IN STD_LOGIC_VECTOR (3 DOWNTO 0);sel:IN STD_LOGIC_VECTOR (1 DOWNTO 0);y:OUT STD_LOGIC);END mux4;ARCHITECTURE rtl OF mux4 ISBEGINPROCESS (input,sel)BEGINIF (sel=“00”) THENy<= input(0);ELSIF(sel=“01”)THENy<= input(1);ELSIF(sel=“10”)THENy<= input(2);ELSEy<= input(3);END IF;END PROCESS;END rtl;二:程序运行图:三:波形图:实验总结本次实验学习了组合逻辑电路、编码器的功能与定义,学习了Verilog和VHDL语言,同时熟悉了利用Quartus II开发数字电路的基本流程和Quartus II 软件的相关操作,学会了使用Vector Wave波形仿真。

verilog4选一数据选择器原理(一)

verilog4选一数据选择器原理(一)

verilog4选一数据选择器原理(一)Verilog中的4选1数据选择器简介在数字电路中,数据选择器是一种常见的电路组件,用于从多个数据输入中选择一个输出。

Verilog是一种硬件描述语言,广泛用于数字电路的设计和仿真。

本文将介绍Verilog中的4选1数据选择器的原理和实现方法。

原理4选1数据选择器有4个输入和1个输出。

根据选择信号,从4个输入中选择一个输入作为输出。

选择信号是2位的二进制数,共有4种可能的状态,每种状态对应一个输入。

当选择信号为00时,输出为第一个输入;当选择信号为01时,输出为第二个输入;当选择信号为10时,输出为第三个输入;当选择信号为11时,输出为第四个输入。

逻辑电路图以下是4选1数据选择器的逻辑电路图:______S0 ----| || |S1 ----| |----- Y|______|Verilog实现下面是实现4选1数据选择器的Verilog代码示例:module mux4to1 (input [3:0] D, input [1:0] S, outpu t Y);assign Y = (S[1] & S[0] & D[3]) | (S[1] & ~S[0] & D [2])| (~S[1] & S[0] & D[1]) | (~S[1] & ~S[0] & D[0]);endmodule在上面的代码中,D是4个输入的信号线,S是选择信号线,Y是输出信号线。

根据选择信号的不同状态,使用逻辑运算符进行输入的选取,然后将结果输出到输出信号线Y上。

仿真测试为了验证4选1数据选择器的正确性,可以进行仿真测试。

以下是一个简单的测试示例:module test_mux4to1;// Declare signalsreg [3:0] D;reg [1:0] S;wire Y;// Instantiate the modulemux4to1 mux (D, S, Y);// Stimulusinitial begin// Test case 1D = 4'b0001; S = 2'b00; // Expect Y to be 0 #10;// Test case 2D = 4'b0001; S = 2'b01; // Expect Y to be 0 #10;// Test case 3D = 4'b0001; S = 2'b10; // Expect Y to be 0 #10;// Test case 4D = 4'b0001; S = 2'b11; // Expect Y to be 1 #10;$finish;endendmodule上述代码中,D和S是输入信号,Y是输出信号。

EDA四选一选择器设计

EDA四选一选择器设计

4选1数据选择器1·设计背景和设计方案1·1设计背景该设计是以数字电子技术为基础,实现数据从四位数据中按照输入的信号选中一个数,来实现所期望的逻辑功能。

1·2设计方案用拨码开关作四位数据及两位控制端的输入,LED 作输出,通过拨码开关组成控制输入端s1和s0不同组合,观察LED 与数据输入端a,b,c,d 的关系,验证四选一数据选择器设计的正确性。

使用逻辑门电路与、或、非的组合来表达4选1数据选择器,通过控制输入的信号来控制输出的信号值。

其逻辑电路图如下:3021D D D D其示意框图如下:其中输入数据端口为D0、D1、D2、D3,A 、A ’为控制信号,Y 为输出。

令AA ’=“00”时,输出Y=D0;令AA ’=“01”时,输出Y=D1;令AA ’=“10”时,输出Y=D2;令AA ’=“11’ 时,输出Y=D3;D0输入 D 1 数据 D 2 D 3真值表如下:D3 1 1 D32·方案实施1)程序12·1·1设计思路四选一多路选择器设计时,定义输入S为标准以内漏记为STD_LOGIC,输出的信号Z的数据类型定义为2位标准逻辑矢量位STD_LOGIC_VECTOR( 1 DOWNTO 0 ).使用LIBRATY语句和USE语句,来打开IEEE库的程序包STD_LOGIC_1164.ALL。

当输入信号时,程序按照输入的指令来选择输出,例如输入信号为“00”时,将a的值给z,进而输出z的值,输入信号为“11”是,将a的值给z,进而输出z的值。

若输入信号是已经定义的四个信号之外的值时(即当IF条件语句不满足时),输出值为x,并将x的值给输出信号z。

这样即可实现四选一数据选择的功能。

2·1·2程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 isPORT (a,b,c,d :IN STD_LOGIC;s :IN STD_LOGIC_VECTOR(1 DOWNTO 0);z : OUT STD_LOGIC);END mux41;ARCHITECTURE one OF mux41 ISBEGINPROCESS( s,a,b,c,d)BEGINCASE s ISWHEN "00" => z <= a;WHEN "01" => z <= b;WHEN "10" => z <= c;WHEN "11" => z <= d;WHEN OTHERS => z <=null;END CASE;END PROCESS;END one;2·1·3运行结果当输入信号“00”时,输出信号z的值为‘a’;当输入信号“01”时,输出信号z的值为‘b’;当输入信号“10”时,输出信号z的值为‘c’;当输入信号“11”时,输出信号z的值为‘d’;2·1·4波形仿真及描述输入:a 的波形周期为10ns,b的波形周期为5ns,c的波形周期为15ns,d的波形周期为8ns。

四选一数据选择器的设计

四选一数据选择器的设计

XX大学实习(实训)报告实习(实训)名称:电工电子实习学院:专业、班级:指导教师:报告人:学号:时间: 2011年7月1日至 2011年7月8日实习主要内容:(1)了解EDA技术的发展及应用(2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计(3)学习MAX+PLUSⅡ软件的应用方法(4)应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真主要收获体会与存在的问题:通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。

同时也体会到设计课的重要性和目的性所在。

同时这次实习也有很多收获,首先我们学会了MAX+PLUSⅡ软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

指导教师意见:建议成绩:指导教师签字:年月日备注:实习报告1.目的(1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识(2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。

2.内容2.1 maxplus2的认识(1)Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera 是世界上最大可编程逻辑器件的供应商之一。

Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

(2)、Max+plusⅡ开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。

(3)、Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

数据选择器数据分配器

数据选择器数据分配器

输入
S A2 A1 A0
1 ××× 0 000 0 001 0 010 0 011 0 100 0 101 0 110 0 111
输出
YY
01 D0 D0 D1 D1 D2 D2 D3 D3 D4 D4 D5 D5 D6 D6
D7 D7
三、数据选择器的扩展
例:将两片74LS151连接成一个十六选一的数据选择器。
– 真值表如下:
D A1 A0 Y0 Y1 Y2 Y3 D0 0 D0 0 0 D0 1 0 D0 0 D1 0 0 0 D0 D1 1 0 0 0 D
–逻辑表达式及逻辑图
Y 0 A1 A0D Y1 A1A0D Y 2 A1 A0D Y 3 A1A0D
本章小结
1.组合逻辑电路的特点是:电路任一时刻的
• 一、1路-4路数据分配器:
• (一)逻辑抽象:
– 输入信号:1路输入数据,用D表示; 2个输入控制信号,A0,A1表示;
– 输出信号:4个数据输出端, 用Y0,Y1,Y2,Y3表示。
– 选择控制信号A1,A0状态约定
• 当A1A0=00时,选中输出端Y0 • 当A1A0=01时,选中输出端Y1 • 当A1A0=10时,选中输出端Y2 • 当A1A0=11时,选中输出端Y3
Y A1 ' A0 ' D0 A1 ' A0D1 A1A0 ' D2 A1A0D3 两者相等的条件是A1 A,A0 C,D0 0,D1 B,D2 B,D3 1
• 4.画连线图 • 按降C排列
数据分配器
• 数据分配器:能够将一个输入数据,根据需要传送到m
个输出端的其中任何一个进行输出的电路,也叫多路分配 器,功能和数据选择器相反。(发牌)

利用数据选择器实现组合逻辑电路设计案例分析

利用数据选择器实现组合逻辑电路设计案例分析

利用数据选择器实现组合逻辑电路设计案例分析【项目任务】案例任务要求当停机模式时,市电和光伏电不导入;当太阳工作模式,市电不导入,光伏电导入;当市电互补模式,市电和光伏电都导入;当市电模式,市电导入,光伏发电部导入。

利用数据选择器实现上述组合逻辑电路功能。

图7.8译码器实现输出控制【信息单】一、数据选择器在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。

下图为4选1数据选择器的示意图。

当A1A为00,开关导通D0,Y=D;当A1A为01时,开关导通D1,Y=D1,以此类推。

A1A0图7.9 数据选择器在数据选择器中,通常用地址输入信号来完成挑选数据的任务。

如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。

同理,对一个8选1的数据选择器,应有3个地址输入端。

其余类推。

一、4选1数据选择器74LS1531、逻辑电路:D3、D2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y 为数据输出端,ST 为使能端,又称选通端,输入低电平有效。

下图7.10为74LS153 管脚排列示意图。

该芯片中存在两个4选1数据选择器。

2Y92C0102C1112C2122C313A 14B 2~1G 11Y71C061C151C241C33~2G15图7.10 74LS153 管脚排列表7.5为74LS153的功能表。

当使能端G 有效时,输出等于地址信号A 、B 所选择的数据信号。

可得输出函数表达式为:3322110C m C m C m C m Y o +++=。

对于一个n 选1的数据选择器,其输出函数为:n n o C m C m Y ++=...0 二、用数据选择器实现组合逻辑函数实现原理:数据选择器是一个逻辑函数的最小项输出:∑-===++=1200...n i ii n n o c m C m C m Y 而任何一个n 位变量的逻辑函数都可变换为最小项之和的标准式。

msi组合功能器件的设计应用实验报告

msi组合功能器件的设计应用实验报告

msi组合功能器件的设计应用实验报告实验目的一、实验目的1、掌握数据选择器、译码器和全加器等MSI的组合原理及基本功能;2、掌握MSI组合功能件的应用。

二、实验原理数据选择器74153通用译码器74138全加器74283一片74153中有两个4选1数据选择器;选通输入端,低电平有效;选择输入端为两个数据选择器共用。

被加数和加数均为4位二进制数;二进制全加器可以进行多位连接使用;可以组成全减器、补码器或实现其他逻辑功能等电路。

从上述例子可见,数据选择器的地址变量一般的选择方式;(1)选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量和反变量),以简化数据输入端的附加电路;(2)选择一组具有一定物理意义的量。

三、实验内容(1)利用4选1数据选择器设计一个表示血型遗传规律的电路,画出设计电路图,检测并记录电路功能。

(2)使用一个3线一8线译码器和与非门设计一个1位二进制全减器,画出设计逻辑图,检测并记录电路功能。

(3)利用两个4位二进制全加器和与非门,设计一个1位NBCD 码的全加器,画出设计电路图,检测电路功能。

记录下列运算式的实验结果:0000+0100,0111+0010,0100+0110,0101+0111,1000+0111,1001+1001.四、仪器与器材·(1)数字实验箱YB3262型1台·(2)直流稳压电源DF1701S型1台·(3)万用表MF78型1只·(4)主要器材74LS153(2片)74LS00(1片)74LS138(2片)74LS20(1片)74LS283(2片)74LS86(1片)。

四选一数据选择器课程设计

四选一数据选择器课程设计

四选一数据选择器课程设计EDA课程设计报告题目:四选一数据选择器院系班级:设计者:指导老师:设计时间:目录目录............................................................... - 1 - 1、设计目的、要求......................................... - 2 -1.1、设计目的.......................................... - 2 -1.2、系统设计要求.................................. - 2 -1.3、设计工具.......................................... - 3 -2、设计原理及相关硬件................................. - 3 -2.1、系统设计方案及原理...................... - 3 -2.2、硬件原理.......................................... - 4 -3、主要模块设计............................................. - 5 -3.1、模块xy4 ........................................... - 5 -4、系统编译及仿真过程................................. - 6 -4.1、工程建立.......................................... - 6 -4.2、系统编译.......................................... - 7 -4.3、仿真.................................................. - 8 -5、硬件验证过程和分析................................. - 8 -5.1、引脚设置和保护.............................. - 8 -5.2、硬件下载.......................................... - 9 -6、实验参考程序........................................... - 12 -6.1、模块xy4 ......................................... - 12 -7、总结........................................................... - 14 -1、设计目的、要求1.1、设计目的了解并掌握一般设计方法,具备初步的独立设计能力;掌握用VerilogHDL语言程序的基本技能;提高综合运用所学的理论知识独立分析和解决问题的能力;进一步掌握EDA技术的开发流程,学习其独特的运用,进一步的提高自己的动手能力和知识领域。

数据选择器_实验报告

数据选择器_实验报告

一、实验目的1. 理解数据选择器的工作原理和逻辑功能。

2. 掌握数据选择器的引脚及其作用。

3. 学会使用数据选择器进行组合逻辑电路的设计。

4. 通过实验验证数据选择器的应用。

二、实验原理数据选择器,又称多路选择器,是一种能够从多个数据输入中选取一路输出到输出端的数字电路。

其基本原理是利用控制信号来选择所需的输入数据。

常见的数据选择器有二选一、四选一、八选一等。

三、实验器材1. 74LS153双四选一数据选择器2. 逻辑分析仪3. 电源4. 连接线5. 逻辑门电路四、实验步骤1. 搭建实验电路:按照实验原理图连接好电路,包括数据选择器、输入端、输出端和控制端。

2. 输入数据测试:向数据选择器的输入端输入不同的数据,观察输出端的变化。

3. 控制信号测试:改变控制信号的状态,观察输出端的变化,验证数据选择器的逻辑功能。

4. 组合逻辑电路设计:设计一个组合逻辑电路,使用数据选择器实现所需的逻辑功能。

5. 电路仿真:使用逻辑分析仪对电路进行仿真,验证电路的正确性。

五、实验结果与分析1. 输入数据测试:当输入端的数据分别为0和1时,输出端能够正确地输出对应的值。

2. 控制信号测试:当控制信号改变时,输出端能够正确地选择对应的输入数据。

3. 组合逻辑电路设计:设计了一个组合逻辑电路,使用数据选择器实现了所需的逻辑功能。

4. 电路仿真:仿真结果显示,电路能够正确地实现预期的逻辑功能。

六、实验心得1. 通过本次实验,我对数据选择器的工作原理和逻辑功能有了更深入的了解。

2. 实验过程中,我学会了如何使用数据选择器进行组合逻辑电路的设计。

3. 实验让我认识到,在实际应用中,数据选择器可以简化电路设计,提高电路的可靠性。

4. 通过本次实验,我提高了自己的动手能力和逻辑思维能力。

七、总结本次实验成功地实现了数据选择器的测试和应用,验证了数据选择器的逻辑功能。

通过实验,我对数据选择器有了更深入的了解,并掌握了使用数据选择器进行组合逻辑电路设计的技巧。

实验七4选1多路选择器设计实验

实验七4选1多路选择器设计实验

实验七4选1多路选择器设计实验实验七 4选1多路选择器设计实验⼀、实验⽬的进⼀步熟悉QuartusII 的VHDL ⽂本设计流程、组合电路的设计仿真和测试。

⼆、实验原理四选⼀多路选择器设计时,试分别⽤IF_THEN 语句、WHEN_ELSE 和CASE 语句的表达⽅式写出此电路的VHDL 程序,要求选择控制信号s1和s2的数据类型为STD_LOGIC;当s1=‘0’,s0=‘0’;s1=‘0’,s0=‘1’;s1=‘1’,s0=‘0’和s1=‘1’,s0=‘1’时,分别执⾏y<=a 、y<=b 、y<=c 、y<=d 。

三、程序设计其⽰意框图如下:其中输⼊数据端⼝为a 、b 、c 、d ,s1、s2为控制信号,Y 为输出。

令s0s1=“00”时,输出y=a ;令s0s1=“01”时,输出y=b ;令s0s1=“10”时,输出y=c ;令s0s1=“11’ 时,输出y=d ;a输⼊ b y数据 cds0 s1真值表如下:输⼊输出x s0 s1y a 0 0a b 0 1 b c 1 0 c d 1 1d4 选 1数据选择器四、VHDL仿真实验(1)⽤IF_THEN语句设计4选1多路选择器1.建⽴⽂件夹D:\alteral\EDAzuoye\if_mux41,启动QuartusII软件⼯作平台,打开并建⽴新⼯程管理窗⼝,完成创建⼯程。

图1 利⽤New Project Wizard创建⼯程mux412.打开⽂本编辑。

NEW→VHDL File→相应的输⼊源程序代码→存盘为mux41.vhd.。

图2 选择编辑⽂件类型源程序代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux41 ISPORT(a,b,c,d:IN STD_LOGIC;s0: IN STD_LOGIC;s1: IN STD_LOGIC;y: OUT STD_LOGIC);END ENTITY mux41;ARCHITECTURE if_mux41 OF mux41 ISSIGNAL s0s1:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN s0s1<=s0&s1PROCESS(s0s1,a,b,c,d)BEGINIF s0s1="00" THEN y<=a;ELSIF s0s1="01" THEN y<=b;ELSIF s0s1="10" THEN y<=c;ELSE y<=d;END IF;END PROCESS;END ARCHITECTURE if_mux41;3.综合运⾏,检查设计是否正确。

数据选择器设计实验报告

数据选择器设计实验报告

实验报告数据选择器设计12传感网金涛1228403019一、实验目的1.熟悉硬件描述语言软件的使用。

2.数序数据选择器的工作原理和逻辑功能。

3.掌握数据选择器的设计方法。

二、实验原理数据选择器的逻辑功能是从多路数据输入信号中选出一路数据送到输出端,输出的数据取决于控制输入端的状态。

三、实验内容1.设计一个四选一数据选择器。

程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX4_1 ISPORT(D3,D2,D1,D0,A1,A0:INSTD_LOGIC;Y:OUT STD_LOGIC);END ENTITY MUX4_1;ARCHITECTURE ONE OF MUX4_1 ISBEGINPROCESS(D3,D2,D1,D0,A1,A0)BEGINIF(A0='0' AND A1='0') THENY<=D0 ;ELSIF (A0='0' AND A1='1') THENY<=D1 ;ELSIF (A0='1' AND A1='0') THENY<=D2 ;ELSIF (A0='1' AND A1='1') THENY<=D3 ;END IF;END PROCESS;END ARCHITECTURE ONE;仿真波形:仿真波形分析:D0-D3是数据输入端,A1,A0是控制输入端,Y是数据输出端。

当A0=0,A1=0时Y=D0;当A0=0,A1=1时Y=D1;当A0=1,A1=0时Y=D2;当A0=1,A1=1时Y=D3;实体框图:2.设计一个八选一数据选择器。

程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux8_1 ISPORT(A:IN STD_LOGIC_VECTOR(2DOWNTO 0);D0,D1,D2,D3,D4,D5,D6,D7:INSTD_LOGIC;S:IN STD_LOGIC;Y:OUT STD_LOGIC);END mux8_1;ARCHITECTURE dataflow OF mux8_1ISBEGINPROCESS(A,D0,D1,D2,D3,D4,D5,D6,D7,S)BEGINIF(S='1')THEN Y<='0';ELSIF(S='0'AND A="000")THEN Y<=D0;ELSIF(S='0'AND A="001")THEN Y<=D1;ELSIF(S='0'AND A="010")THEN Y<=D2;ELSIF(S='0'AND A="011")THEN Y<=D3;ELSIF(S='0'AND A="100")THEN Y<=D4;ELSIF(S='0'AND A="101")THEN Y<=D5;ELSIF(S='0'AND A="110")THEN Y<=D6;ELSE Y<=D7;END IF;END PROCESS;END dataflow;仿真波形:仿真波形分析:S为使能端,低电平有效。

用数据选择器设计组合逻辑电路

用数据选择器设计组合逻辑电路

用数据选择器设计组合逻辑电路在数字电路中,组合逻辑电路是由一些逻辑门和其他输入输出设备组成的电路。

它的输出仅取决于当前输入的状态,而与之前的输入状态无关。

数据选择器是组合逻辑电路的一种常见设计,用于根据输入选择不同的输出。

数据选择器的功能是从多个输入信号中选择一个作为输出信号。

根据所需的输入类型和数量,可以选择不同的数据选择器设计方案。

常用的数据选择器有2选1、4选1和8选1等。

2选1数据选择器是最简单的数据选择器,有两个输入信号和一个选择信号。

输入信号可以是数字0和数字1,选择信号决定了哪个输入信号将被选择作为输出。

4选1数据选择器是比较常用的数据选择器,有四个输入信号和两个选择信号。

选择信号可以是两个控制信号,根据选择信号的不同组合,将其中的一个输入信号输出。

8选1数据选择器是较为复杂的数据选择器,有八个输入信号和三个选择信号。

选择信号可以是三个控制信号,根据选择信号的不同组合,将其中的一个输入信号输出。

在设计数据选择器时,需要考虑以下几个方面:1.确定输入输出的类型和数量:根据需求确定所需的输入和输出信号类型以及数量,例如数字信号还是模拟信号,信号的位宽是多少等。

2.选择适当的逻辑门:根据输入输出信号的类型和数量,选择适当的逻辑门来实现数据选择器的功能。

常用的逻辑门有与门、或门、非门等。

3.确定选择信号:根据数据选择器的需求确定选择信号的数量和类型。

选择信号的数量越多,数据选择器可以选择的输入信号就越多。

4.进行逻辑门的连接:根据选择信号和输入信号的关系,将逻辑门进行适当的连接。

连接逻辑门时需要考虑逻辑门的输出和输入之间的关系。

5.进行逻辑门的优化:对设计好的数据选择器进行逻辑门的优化,可以通过减少逻辑门的数量和延迟提高电路的性能。

通过以上步骤,可以设计出满足要求的数据选择器。

设计过程中,需要综合考虑输入输出的类型和数量、逻辑门的选择、选择信号的确定、逻辑门的连接和优化等因素。

不同的设计方案可以根据实际需求和相关要求进行选择和调整,以获得最佳性能和最小的成本。

设计一个4选1的数据选择器,输入信号为A,B,C,D,输出信号为F,地址选择信号为SEL。

设计一个4选1的数据选择器,输入信号为A,B,C,D,输出信号为F,地址选择信号为SEL。

设计⼀个4选1的数据选择器,输⼊信号为A,B,C,D,输出信号为F,地址选择信号为SEL。

任务与函数结构verilog HDL语⾔引⼊任务与函数两种模块化程序的描述⽅式,使之便于理解和调试另外简化了程序的结构,增强了代码的易读性。

任务和函数⼀般⽤于⾏为建模,编写验证程序(Test Bench)1.任务 任务(task)类似于⼀般的编程语⾔中的过程(process),它可以从程序的不同位置执⾏共同的代码,通常把需要共⽤的代码段定义为任务,然后通过调⽤任务来使⽤它。

在任务中可以包含时序控制等,还可以调⽤其他的任务和函数。

任务的使⽤包括任务定义和任务调⽤。

在进⾏任务定义时,必须注意以下⼏点: (1)任务定义结构不能出现在任何⼀个过程块的内部; (2)和模块定义不⼀样,在第⼀⾏"task"语句中不能列出端⼝名列表;(3)⼀个任务可以没有输⼊输出端⼝;(4)⼀个任务可以没有返回值,也可以通过输出端⼝或双向端⼝返回⼀个或多个值;(5)除任务参数外,任务还能够引⽤说明任务所在的模块中定义的任何变量。

任务调⽤: ⼀个任务由任务调⽤语句调⽤,任务调⽤语句给出传⼊任务的参数值和接收结果的变量值,其语法为 <任务名> (端⼝1,端⼝2,...,端⼝n) ; 在进⾏任务调⽤时,必须注意下⾯⼏点:(1)任务调⽤语句是过程性语句,因此只能出现在always过程块和initial过程块;(2)任务调⽤语句中参数列表必须与任务定义时的输⼊、输出和双向端⼝参数说明的顺序相匹配;(3)进⾏任务调⽤时,参数要按值传递,⽽不能按地址传递(这⼀点与其他⾼级语⾔不同);(4)由于任务调⽤语句是过程性语句,因此任务调⽤的输⼊与输出参数必须是寄存器类型;(5)⼀个任务中可以直接访问上⼀级调⽤模块中的任何寄存器;(6)可以使⽤disable语句来中断任务的执⾏,在任务被中断后,程序流程将返回到调⽤任务的地⽅继续往下执⾏。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

XX大学
实习(实训)报告
实习(实训)名称:电工电子实习
学院:
专业、班级:
指导教师:
报告人:
学号:
时间: 2011年7月1日至 2011年7月8日
实习主要内容:
(1)了解EDA技术的发展及应用
(2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计
(3)学习MAX+PLUSⅡ软件的应用方法
(4)应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真
主要收获体会与存在的问题:
通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。

同时也体会到设计课的重要性和目的性所在。

同时这次实习也有很多收获,首先我们学会了MAX+PLUSⅡ软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

指导教师意见:
建议成绩:
指导教师签字:
年月日备注:
实习报告
1.目的
(1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识
(2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。

2.内容
2.1 maxplus2的认识
(1)Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera 是世界上最大可编程逻辑器件的供应商之一。

Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。

在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。

(2)、Max+plusⅡ开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。

(3)、Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

Max+Plus II 工具软件,是现代最先进的仿真设计技术。

在普通电脑上就可以操作,十分方便。

Max+Plus II 工具软件,是功能强大的EDA综合设计系统工具。

2.24选1数据选择器
(1)创建电路
原理:数据选择器又称为多路转换器或多路开关,它是数字系统中常用的一种典型电路。

其主要功能是从多路数据中选择其中一路信号发送出去。

所以它是一个多输入、单输出的组合逻辑电路。

4选1数据选择器的元件符号如图一所示,其中D0、D1、D2、D3是4位数据输入端,A0和A0是控制输入端,Y是数据输出端。

当A1A0=00时,输出Y=D1;A1A0=01时,Y=D1;A1A0=10时,Y=D2;A1A0=11,Y=D3。

图一4选1数据选择器的
元件符号
4选1数据选择器的真值表为:
输 入
输出 A 0 A 1 D 0 D 1 D 2 D 3 F 0 0 0 × × × 0 0 0 1 × × × 1 0 1 × 0 × × 0 0 1 × 1 × × 1 1 0 × × 0 × 0 1 0 × × 1 × 1 1 1 × × × 0 0 1 1
×
×
×
1
1
真值表可简化为:
A1 A0 D F 0 0 D0 0 1 D1 1 0 D2 1 1 D3 D0 D1 D2 D3
由真值表写出输出逻辑表达式 301201101001)()()()(D A A D A A D A A D A A F +++=
由逻辑表达式做出逻辑电路图。

在MAX+plus Ⅱ软件下做出4选1数据选择器的原理图。

如图所示,D0、D1、D2、D3为4路数据输入端,A0、A1为选择控制信号输入端,F 为输出端。

0
=s 时电路工作,1=s 时电路不工作,不管D 取何值,F 始终为0。

(2)分析
分析上面的4选1数据选择器原理图
如图二,4选1数据选择器有七个输入端和一个输出端,其中四个为数据输入端,两个为控制信号输入端。

电路由五个非门、四个与门和一个或门组成。

编译无误后,在MAX+plus Ⅱ软件下的Wave Editor 中对4选1数据选择器进行仿真,记录仿真波形,如图
图 二 4选1数据选择器原理图
分析:如图所示 0=s
时电路工作,D0、
D1、D2、D3分别输入四个波形,先对A0选择初始电平为“0”,时钟周期为“200ns ”
,倍数为“1”,按同样的方法为A1输入端添加激励信号,时钟周期倍数为A0输入端的2倍。

这样我们就为A0、A1输入端分别添加了时钟周期为200ns 和400ns 的激励信号。

(3)结论
上图的仿真波形的真值表为:
S
1
D3 0 0 0 1 0 0 1 0 0 1 0 0
1 0 0 0 0 0 1 1 0 1 0 1
D2 D1 D0 A1 A0 F
1 1 1 1
从而验证了4选1数据选择器设计的正确性和功能的正确性。

图 三 4选1数据选择器 的仿真波形图
3.个人特色
采用文本编辑法,即利用VHDL语言描述四选一数据选择器
其仿真波形为:
用VHDL语言编写的4选1数据选择器如下:
library IEEE;
use IEEE.std_logic_1164.all;
entity muxl is
port(
D0,D1,D2,D3:in std_logic_vector(7 downto 0);
S:in integer range 0to 3;
F:out std_logic_vector(7 downto 0))
end muxl;
architecture date of muxl is
begin
with S select
F<=D0 when 0,
D1 when 1,
D2 when 2,
D3 when 3,
"00000000" when others;
end date;
4. 结束语
通过一星期的紧张工作,最后完成了我的设计任务。

这次实习我的题目是4选1数据选择器的设计,通过学习我掌握了MAX+plusⅡ的使用方法,运用软件和理论知识我设计出了4选1数据选择器的原理图,编译正确后,接着又对原理图进行了仿真,并且得到了预期的结果,设计的原理图简单明了,容易理解。

通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。

同时也体会到设计课的重要性和目的性所在。

本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。

它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。

相关文档
最新文档