交流数字电压表实验报告
电工电子学实验报告常用电子仪器的使用
电工电子学实验报告04常用电子仪器的使用实验报告课程名称:电工电子学实验指导老师:实验名称:常用电子仪器的使用一、实验目的 1.了解常用电子仪器的主要技术指标、主要性能以及面板上各种旋钮的功能。
2.掌握实验室常用电子仪器的使用方法。
二、主要仪器设备型双踪示波器。
型交流电压表。
数字函数信号发生器。
型可调式直流稳压稳流电源。
Ω 电阻和μ F 电容各一个。
三、实验内容 1.用示波器检测机内“校正信号”波形首先将示波器的“显示方式开关(VERTCAL MODE)”置于单踪显示,即Y 1 (CH1)或Y 2 (CH2),“触发方式开关(TRIGGER)”置于“自动(AUTO)”即自激状态。
开启电源开关后,调节“辉度(INTEN)”、“聚焦(FOCUS)”“辅助聚焦”等旋钮,使荧光屏上显示一条细而且亮度适中的扫描基线。
将示波器的“校正信号”引入上面选定的 Y 通道(CH1 或 CH2),将Y 轴“输入耦合方式开关” 置于“AC”或“DC”,调节X 轴“扫描速率选择开关”(t/div 或t/cm)和Y 轴“轴入灵敏度开关(V/div 或V/cm)”,并且将各自的“微调”旋钮置于校正位置,使示波器显示屏上显示出约两个周期,垂直方向约4~8div(cm)的校正信号波形。
从示波器显示屏的坐标刻度上读得 X 轴(水平)方向和Y 轴(与 X 轴垂直)方向的原始数据(即从示波器刻度上读取的刻度数值和所选的刻度单位值),填入表4-1,并计算出对应的实测值。
校正信号标称值示波器测得的原始数据测量值幅度U P-P 4div div 频率f 1000Hz 5div div 1000Hz 表4-1 观察“Y 轴输入灵敏度微调开关”和“X 轴扫描速率微调开关”出在顺时针到底和逆时针到底两个极端位置时,屏幕读数与信号标称值的差异(标称值指的信号源输出所表示的数值)。
体会测量时不将“微调”旋钮置于校正位置所造成的影响。
2.用示波器和交流毫伏表测量信号参数 (1)调节信号发生器的有关旋钮,使输出频率分别为 200Hz、1kHz、5kHz、10kHz,有效值等于 1V(用交流毫伏表测定)的正弦波,用示波器分别测量上述信号的频率,将测得的数据填入表4-2。
电工和电子技术(A)1实验报告
电工和电子技术(A)1实验报告实验一 电位、电压的测定及基尔霍夫定律1.1电位、电压的测定及电路电位图的绘制一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。
1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。
(先调准输出电压值,再接入实验线路中。
)图2. 以图1-1中的A点作为电位的参考点,分别测量B、C、D、E、F各点的电位值φ及相邻两点之间的电压值U AB、U BC、U CD、U DE、U EF及U FA,数据列于表中。
3. 以D点作为参考点,重复实验内容2的测量,测得数据列于表中。
四、思考题若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化?答:五、实验报告1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。
两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。
答:2. 完成数据表格中的计算,对误差作必要的分析。
答:3. 总结电位相对性和电压绝对性的结论。
答:1.2基尔霍夫定律的验证一、实验目的1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。
2. 学会用电流插头、插座测量各支路电流。
二、实验内容实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。
1. 实验前先任意设定三条支路电流正方向。
如图1-1中的I1、I2、I3的方向已设定。
闭合回路的正方向可任意设定。
2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。
3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。
4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。
5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。
三、预习思考题1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。
万用表使用实验报告
万用表使用实验报告篇一:万用表实验报告万用表实训报告班级:姓名:学号:成绩:一、万用表测量前应做哪些准备?二、万用表测电阻1、万用表测电阻的步骤是?2、记录实训中的电阻值R1= R2=人体表面电阻=三、万用表测量直流电压1、万用表测量直流电压的步骤是?2、记录实训中的电压值U1=U2=四、万用表测量交流电压1、万用表测量直流电压的步骤是?2、记录实训中的电压值U1=五、万用表使用时,应注意什么?R3=篇二:实验1_数字万用表的应用实验报告电子测量实验报告实验名称:数字万用表的应用姓名:学号:班级:学院:指导老师:实验一数字万用表的应用一、实验目的1 理解数字万用表的工作原理;2 熟悉并掌握数字万用表的主要功能和使用操作方法。
二、实验内容1 用数字万用表检测元器件——电阻测量、电容测量、二极管检测、三极管检测;2用数字万用表测量电压和电流——直流电压及电流的测量、交流电压及电流的测量。
三、实验仪器及器材1 低频信号发生器1台2 数字万用表1块3 功率放大电路实验板1块4 实验箱1台5 4700Pf、IN4007、9018各1个四、实验要求1 要求学生自己查阅有关数字万用表的功能和相关工作原理,了解数字万用表技术指标;2 要求学生能适当了解一些科研过程,培养发现问题、分析问题和解决问题的能力;3 要求学生独立操作每一步骤;4 熟练掌握万用表的使用方法。
五、万用表功能介绍(以UT39E型为例)1概述UT39E型数字万用表是一种功能齐全、性能稳定、结构新颖、安全可靠、高精度的手持式四位半液晶显示小型数字万用表。
它可以测量交、直流电压和交、直流电流,频率,电阻、电容、三极管β值、二极管导通电压和电路短接等,由一个旋转波段开关改变测量的功能和量程,共有28档。
本万用表最大显示值为±19999,可自动显示“0”和极性,过载时显示“1”,负极性显示“-”,电池电压过低时,显示“2技术特性A直流电压:量程为200mV、2V、20V、200V和1000V五档,200mV档的准确度为±(读数的0.05%+3个字),2V、20V和200V档的准确度为±(读数的0.1%+3个字), 1000V档的准确度为±(读数的0.15%+5个字);输入阻抗,所有直流档为10MΩ。
实验报告1
物电学院09级电子(2)学号 200940620219 姓名 刘杰阜阳师范学院 大学物理实验报告【实验名称】:数字电表原理与万用表设计使用【实验目的】:1、了解数字电表的基本原理及常用双积分模数转换芯片外围参数的选取原则、电表的校准原则以及测量误差的来源。
2、了解万用表的特性、组成和工作原理。
3、掌握分压、分流电路的原理以及设计对电压、电流和电阻的多量程测量。
4、了解交流电压、三极管和二极管相关参数的测量。
5、通过数字电表原理的学习,能够在传感器设计中灵活应用数字电表。
【实验仪器】:1、309FB 型数字电表原理及万用表设计实验仪;2、四位半通用数字万用表;3、双踪示波器。
【实验原理】:一、数字电表原理:常见的物理量都是幅值大小连续变化的所谓模拟量,指针式仪表可以直接对模拟电压和电流进行显示。
而对于数字式仪表,则需要先把模拟电信号(通常是电压信号)转换成数字信号,再进行显示和处理。
数字信号与模拟信号不同,其幅值大小不是连续的,就是说数字信号的大小只能是某些分立的数值,所以需要进行量化处理。
若最小量化单位为∆,则数字信号的大小是∆的整数倍,该整数可以用二进制码表示。
设mV 1.0=∆,我们把被测电压U 和∆比较,看U 是∆的多少倍,并把结果四舍五入取为整数N (二进制)。
一般情况下,1000≥N 即可满足测量精度要求(量化误差%1.01000/1=≤)。
所以,最常见的数字表头的最大示数为1999 ,被称为三位半(213)数字表。
如U 是∆(mV 1.0)的1861倍,即1861=N ,显示结果为mV)( 1.186。
这样的数字表头,再加上电压极性判别显示电路和小数点选择位,就可以测量显示mV 9.199~9.199- 的电压,显示精度为mV 1.0 。
1、双积分模数转换器(7107ICL )的基本工作原理:双积分模数转换电路的原理比较简单,当输入电压为X V 时,在一定时间1T 内对电量为零的电容器C 进行恒流充电(电流大小与待测电压X V 成正比),这样电容器两极板之间的电量将随时间线性增加,当充电时间到1T 后,电容器上积累的电量Q 与被测电压X V 成正比;然后让电容器恒流放电(电流大小与参孝电压Vref 成正比),这样电容器两端之间的电量将线性减小,直到2T 时刻减小为零,结束时刻停止计数,得到计数值2N ,则2N 与X V 成正比。
数字电压表实验报告
简易数字电压表设计报告姓名:***班级:自动化1202学号:****************:***2014年11月26日一.设计题目采用C8051F360单片机最小系统设计一个简易数字电压表,实现对0~3.3V 直流电压的测量。
二.设计原理模拟输入电压通过实验板PR3电位器产生,A/D转换器将模拟电压转换成数字量,并用十进制的形式在LCD上显示。
用一根杜邦实验线将J8口的0~3.3V输出插针与J7口的P2.0插针相连。
注意A/D转换器模拟输入电压的范围取决于其所选择的参考电压,如果A/D 转换器选择内部参考电压源,其模拟电压的范围0~2.4V,如果选择外部电源作为参考电压,则其模拟输入电压范围为0~3.3V。
原理框图如图1所示。
图1 简易数字电压表实验原理框图三.设计方案1.设计流程图如图2所示。
图2 简易数字电压表设计A/D转换和计时流程图2.实验板连接图如图3所示。
图3 简易数字电压表设计实验板接线图3.设计步骤(1)编写C8051F360和LCD初始化程序。
(2)AD转换方式选用逐次逼近型,A/D转换完成后得到10位数据的高低字节分别存放在寄存器ADCOH和ADC0L中,此处选择右对齐,转换时针为2MH Z。
(3)选择内部参考电压2.4V为基准电压(在实际单片机调试中改为3.311V),正端接P2.0,负端接地。
四、测试结果在0V~3.3V中取10组测试数据,每组间隔约为0.3V左右,实验数据如表1所示:显示电压(V)0.206 0.504 0.805 1.054 1.406实际电压(v)0.210 0.510 0.812 1.061 1.414相对误差(%) 1.905 1.176 0.862 0.659 0.565显示电压(V) 2.050 2.383 2.652 2.935 3.246实际电压(v) 2.061 2.391 2.660 2.943 3.253相对误差(%)0.421 0.334 0.301 0.272 0.215表1 简易数字电压表设计实验数据(注:其中显示电压指LCD显示值,实际电压指高精度电压表测量值)五.设计结论1.LCD显示模块的CPLD部分由FPGA充当,芯片本身自带程序,所以这个部分不用再通过quartus软件进行编程。
《供配电技术》实验报告
《供配电技术》实验报告实验一供电线路的定时限过电流保护实验一、实验目的1.掌握过流保护的电路原理,深入认识继电保护二次原理接线图和展开接线图。
2.学会识别本实验中继电保护实际设备与原理接线图和展开接线图的对应关系,为以后各项实验打下良好的基础。
3.进行实际接线操作, 掌握过流保护的整定调试和动作试验方法。
二、预习与思考1.参阅有关教材做好预习,根据本次实验内容,参考图2-1、图2-2设计并绘制过电流保护实验接线图,参照图2-3。
2.为什么要选定主要继电器的动作值,并且进行整定?3.过电流保护中哪一种继电器属于测量元件?三、原理与说明对于3~66kV供电线路,作为线路的相间短路保护,主要采用带时限的过电流保护和瞬时动作的电流速断保护。
如果过电流保护时限不大于0.5~0.7s时,可不装设电流速断保护。
相间短路动作于跳闸,以切除短路故障。
带时限的过电流保护,按其动作时限特性分为定时限过电流保护和反时限过电流保护两种。
图2-1为定时限过电流保护的原理图,图2-2为其展开图。
图2-1 定时限过电流保护原理图定时限过电流保护的整定计算方法请参考相关教材,附录1有基于本实验一次系统参数的过电流保护整定计算详细过程。
定时限过电流保护的优点:动作时间比较精确,整定简便,而且不论短路电流大小,动作时间都是一定的,不会因为短路电流小动作时间长而延长故障时间。
缺点:所需继电器多,接线复杂,且需直流操作电源,投资较大;靠近电源处的保护装置,其动作时间较长,这是带时限过电流保护的共有缺点。
图2-2 定时限过电流保护展开图序号设备名称使用仪器名称数量1 LGP01 电流继电器 12 LGP04 时间继电器 13 LGP05 出口中间继电器 14 LGP06 信号继电器 15 LGP32 交流数字真有效值电流、电压表 16 监控台电流互感器二次信号 1五、实验步骤实验前准备:1)将实验系统总电源开关断开,将监控台的“实验内容选择”转换开关旋到“线路保护”档;2)将所有监控台上所有电流互感器(实验中需要接线的除外)二次侧短接;3)合上实验系统电源开关,监控台电源开关,PLC电源开关,开始以下实验内容。
电工学实验指导书
实验一线性电路叠加性和齐次性的研究一、实验目的1.验证叠加原理;2.了解叠加原理的应用场合;3.理解线性电路的叠加性。
二、原理说明叠加原理指出:在有几个电源共同作用下的线性电路中,通过每一个元件的电流或其两端的电压,可以看成是由每一个电源单独作用时在该元件上所产生的电流或电压的代数和。
具体方法是:一个电源单独作用时,其它的电源必须去掉(电压源短路,电流源开路);在求电流或电压的代数和时,当电源单独作用时电流或电压的参考方向与共同作用时的参考方向一致时,符号取正,否则取负。
在图1-1中:+'=UU''U叠加原理反映了线性电路的叠加性,线性电路的齐次性是指当激励信号(如电源作用)增加或减小K倍时,电路的响应(即在电路其它各电阻元件上所产生的电流和电压值)也将增加或减小K倍。
叠加性和齐次性都只适用于求解线性电路中的电流、电压。
对于非线性电路,叠加性和齐次性都不适用。
三、实验设备1.直流数字电压表、直流数字毫安表2.恒压源(含+6V,+12V,0~30V可调)3.EEL-74A组件(含实验电路)四、实验内容实验电路如图1-2所示,图中:R1 = 150Ω,R2 = R5 = 100Ω,R3 =200Ω,R4 = 300Ω,电源U S1用恒压源中的+12V输出端,U S2用0~+30V可调电压输出端,并将输出电压调到+6V(以直流数字电压表读数为准),将开关S3投向R3侧。
1.U S1电源单独作用(将开关S1投向U S1侧,开关S2投向短路侧),参考图1-1(b),画出电路图,标明各电流、电压的参考方向。
用直流数字毫安表接电流插头测量各支路电流:将电流插头的红接线端插入数字毫安表的红(正)接线端,电流插头的黑接线端插入数字毫安表的黑(负)接线端,测量各支路电流,按规定:在结点A,电流表读数为‘+’,表示电流流出结点,读数为‘-’,表示电流流入结点,然后根据电路中的电流参考方向,确定各支路电流的正、负号,并将数据记入表1—1中。
信号实验报告
第一部分正文实验一常用信号观察一、实验目的:1.了解常用波形的输出和特点;2.了解相应信号的参数;3.了解示波器与函数发生器的使用;4.了解常用信号波形的输出与特点。
二、实验原理:描述信号的方法有很多可以是数学表达式(时间的函数),也可以是函数图形(即为信号的波形)。
信号的产生方式有多种,可以是模拟量输出,也可以是数字量输出。
本实验由数字信号发生器产生,是数字量输出,具体原理为数字芯片将数字量通过A/D 转换输出,可以输出广泛频率范围内的正弦波、方波、三角波、锯齿波等等。
示波器可以暂态显示所观察到的信号波形,并具有信号频率、峰值测量等功能。
三、实验内容:1.由数字信号发生器产生正弦波、三角波、方波以及锯齿波并输入示波器观察其波形。
2.使用示波器读取信号的频率与幅值。
四、实验设备:1.函数信号发生器一台2.数字示波器一台。
五、实验步骤:1.接通函数发生器的电源,连接示波器。
2.利用函数发生器产生各种基本信号波形,并将波形结果导入计算机中,保存图像,写出各种信号的数学表达式。
六、实验结果:根据实验测量的数据,绘制各个信号的波形图,并写出相应的数学函数表达式。
该试验包括交流:① 该正弦信号的数学表达式为:)1001sin(4t y π=图1-1输入正弦波(Hz 504,V ±) ② 该方波的数学表达式为: )]02.001.0()02.0([4∑∞-∞=----=k k t u k t u y图1-2 输入方波(Hz 504,V ±) ③ 该三角波的数学表达式为:∑∞-∞=-------+-----=k k t u k t u k t k t u k t u k t y )]}02.002.0()02.001.0()][02.0(02.0[800)]02.001.0()02.0()[02.0(800{图1-3 输入三角波(Hz 504,V ±) ④ 该锯齿波的数学表达式为:∑∞-∞=-----=k k t u k t u k t y )]}02.002.0()02.0()[02.0(400{图1-4 输入锯齿波(Hz 504,V ±) 实验的一些问题:数字信号发生器的示值与示波器测量有一定的误差。
实验一万用表毫伏表的使用
实验一数字万用表、交流毫伏表及其使用一.实验目的:1.掌握万用表测量电阻、测量交直流电压的过程及测量数据的处理方法。
2.掌握毫伏表的使用方法。
3.了解毫伏表的工作频率极限。
4.学会毫伏表使用前的调零和校正。
二.实验仪器及材料:1.数字万用表2.WYK直流稳压电源3.色环电阻4.DF2170A毫伏表5. SFG-1003信号发生器三.实验原理:1、数字万用表数字万用表,采用9V叠层电池供电,整机功耗约20mW;采用LCD液晶1位万用表。
核心也是直流数字显示数字,最大显示数字为±1999,因而属于32电压表DVM(基本表)。
它主要由外围电路、双积分A/D转换器及显示器组成。
其中,A/D转换、计数、译码等电路都是由大规模集成电路芯片构成的。
(1)直流电压测量电路图1为数字万用表直流电压测量电路原理图,该电路是由电阻分压器所组成的外围电路和基本表构成。
把基本量程为200mV的量程扩展为五量程的直流电压挡。
图中斜线区是导电橡胶,起连接作用。
图1 数字万用表直流电压测量电路原理图(2)交流电压测量电路图2为数字万用表交流电压测量电路原理图。
由图可见,它主要由输入通道、降压电阻、量程选择开关、耦合电路、放大器输入保护电路、运算放大器输人保护电路、运算放大器、交-直流(AC/DC)转换电路、环形滤波电路及ICL7l06芯片组成。
图中,C1为输入电容。
VD11、VD12是C)的阻尼二极管,它可以防止C1两端出现过电压而影响放大器的输入端。
R21是为防止放大器输入端出现直流分量而设计的直流通道。
VD5、VD6互为反向连接,称为钳位二极管,起“守门”作用,防止输入至运算放大器062的信号超过规定值。
运算放大器062完成对交流信号的放大,放大后的信号经C5加到二极管VD7、VD8上,信号的负半周通过VD7,正半周通过VD8,完成对交流信号进行全波整流。
经整流后的脉动直流电压经电阻R26、R31和电容C6、C10组成的滤波电路滤波后,在R27、RP4上提取部分信号输人至基本表的输人端IN+。
数字电压表实验报告
数字电压表实验报告数字电压表实验报告引言:数字电压表是一种用于测量电压的电子仪器,它通过将电压信号转换为数字形式来显示测量结果。
本实验旨在通过使用数字电压表来测量不同电压信号,并探究其测量原理和使用方法。
实验目的:1. 理解数字电压表的工作原理;2. 学习使用数字电压表测量直流电压和交流电压;3. 掌握数字电压表的使用技巧。
实验器材:1. 数字电压表;2. 直流电源;3. 交流电源。
实验步骤:1. 将数字电压表与直流电源连接,调整电源输出电压为5V;2. 打开数字电压表,选择直流电压测量模式;3. 将数字电压表的测量引线分别与电源的正负极连接;4. 观察数字电压表的显示结果,并记录测量数值;5. 重复步骤1-4,将电源输出电压调整为不同数值,如10V、15V等,记录测量结果。
实验结果:在实验过程中,我们使用数字电压表测量了不同电压信号,并记录了测量结果。
通过分析实验数据,我们发现数字电压表能够准确地测量直流电压,并显示出相应的数值。
在测量过程中,我们注意到数字电压表的显示屏幕上有一个小数点,用于表示小数位数。
当电压信号较小时,小数点会显示更多的位数,以提高测量精度。
此外,我们还发现数字电压表的测量结果具有一定的误差,这可能是由于仪器本身的精度限制或测量过程中的误差引起的。
讨论与分析:通过本次实验,我们深入了解了数字电压表的工作原理和使用方法。
数字电压表通过将电压信号转换为数字形式,并通过显示屏幕上的数字来表示测量结果。
在测量直流电压时,数字电压表能够提供较高的测量精度,并且可以根据电压信号的大小自动调整小数位数。
然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。
结论:本次实验通过使用数字电压表测量不同电压信号,深入了解了数字电压表的工作原理和使用方法。
我们发现数字电压表能够准确地测量直流电压,并提供较高的测量精度。
然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。
数字电压表实验报告
数字电压表的综合设计一、设计题目:基于FPGA的数字电压表设计二、设计任务:1、具有0—2.5v的电压量程;2、通过LED灯显示2进制数字量;3、用FPGA设计制作成数字电压表的专用集成芯片,结合LED数码管构成一个能够实时显示的电压表。
三、总体设计框图:1、总体框图22、分模块设计框图:LED数码管显示模块TL549A/D处理模块3、程序代码:查找表程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity chazhaobiao isport(V:in std_logic_vector(7 downto 0);q:out std_logic_vector(11 downto 0));end entity chazhaobiao;architecture behav of chazhaobiao issignal c30,c74,c117,d0,d1:std_logic;signal HB,LB:std_logic_vector(11 downto 0);begin --A/D值的高4位转换成3位BCD码HB<="001001000000" WHEN V(7 DOWNTO 4)="1111" ELSE --2.40 "001000100100" WHEN V(7 DOWNTO 4)="1110" ELSE --2.24"001000001000" WHEN V(7 DOWNTO 4)="1101" ELSE --2.08"000110010010" WHEN V(7 DOWNTO 4)="1100" ELSE --1.92"000101110110" WHEN V(7 DOWNTO 4)="1011" ELSE --1.76"000101100000" WHEN V(7 DOWNTO 4)="1010" ELSE --1.60"000101000100" WHEN V(7 DOWNTO 4)="1001" ELSE --1.44"000100101000" WHEN V(7 DOWNTO 4)="1000" ELSE --1.28"000100010010" WHEN V(7 DOWNTO 4)="0111" ELSE --1.12"000010010110" WHEN V(7 DOWNTO 4)="0110" ELSE --0.96"000010000000" WHEN V(7 DOWNTO 4)="0101" ELSE --0.80"000001100100" WHEN V(7 DOWNTO 4)="0100" ELSE --0.64"000001001000" WHEN V(7 DOWNTO 4)="0011" ELSE --0.48"000000110010" WHEN V(7 DOWNTO 4)="0010" ELSE --0.32"000000010110" WHEN V(7 DOWNTO 4)="0001" ELSE --0.16"000000000000"; --0.00--A/D值低4位变为3位BCD码LB<="000000010101" WHEN V(3 DOWNTO 0)="1111" ELSE --0.15 "000000010100" WHEN V(3 DOWNTO 0)="1110" ELSE --0.14 "000000010011" WHEN V(3 DOWNTO 0)="1101" ELSE --0.13"000000010010" WHEN V(3 DOWNTO 0)="1100" ELSE --0.12"000000010001" WHEN V(3 DOWNTO 0)="1011" ELSE --0.11"000000010000" WHEN V(3 DOWNTO 0)="1010" ELSE --0.10"000000001001" WHEN V(3 DOWNTO 0)="1001" ELSE --0.09"000000001000" WHEN V(3 DOWNTO 0)="1000" ELSE --0.08"000000000111" WHEN V(3 DOWNTO 0)="0111" ELSE --0.07"000000000110" WHEN V(3 DOWNTO 0)="0110" ELSE --0.06"000000000101" WHEN V(3 DOWNTO 0)="0101" ELSE --0.05"000000000100" WHEN V(3 DOWNTO 0)="0100" ELSE --0.04"000000000011" WHEN V(3 DOWNTO 0)="0011" ELSE --0.03"000000000010" WHEN V(3 DOWNTO 0)="0010" ELSE --0.02"000000000001" WHEN V(3 DOWNTO 0)="0001" ELSE --0.01"000000000000" ; --0.00c30<='1' when HB(3 downto 0)+LB(3 downto 0)>"01001" else'0';d1<='1' when HB(3 downto 0)>="1000" and LB(3 downto 0)>="1000" else '0';c74<='1' when HB(7 downto 4)+LB(7 downto 4)>"01001" else'0';d0<='1' when HB(7 downto 4) + LB(7 downto 4) ="01001" else'0';c117<='1' when HB(11 downto 8)+LB(11 downto 8)>"01001" else '0';q(3 downto 0)<=HB(3 downto 0)+LB(3 downto 0)+"0110" whenc30='1' elseHB(3 downto 0)+LB(3 downto 0)+"0110" whend1='1' elseHB(3 downto 0)+LB(3 downto 0);q(7 downto 4)<=HB(7 downto 4)+LB(7 downto 4)+"0111" whenc74='1' and c30='1' elseHB(7 downto 4)+LB(7 downto 4)+"0110" whenc74='1' and c30='0' elseHB(7 downto 4)+LB(7 downto 4)+"0110" whenc74='0' and c30='1' and d0='1' elseHB(7 downto 4)+LB(7 downto 4)+"0001" whenc74='0' and (c30='1'or d1='1') and d0='0' elseHB(7 downto 4)+LB(7 downto 4);q(11 downto 8)<=HB(11 downto 8)+LB(11 downto 8)+"0111" whenc117='1' and c74='1' elseHB(11 downto 8)+LB(11 downto 8)+"0110" whenc117='1' and c74='0' elseHB(11 downto 8)+LB(11 downto 8)+"0001" whenc117='0' and c74='1' elseHB(11 downto 8)+LB(11 downto 8)+"0001" whenc117='0' and c74='0' and (c30='1'or d1='1') and d0='1' elseHB(11 downto 8)+LB(11 downto 8);end ;译码器程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity yima isport(clk:in std_logic;d:in std_logic_vector(11 downto 0);seg: out std_logic_vector(7 downto 0);sel: out std_logic_vector(2 downto 0));end entity yima;architecture behav of yima issignal wei:std_logic_vector(2 downto 0);signal num:std_logic_vector(3 downto 0);signal a:std_logic_vector(2 downto 0);beginnum<=d(3 downto 0) when a="000" elsed(7 downto 4) when a="001" elsed(11 downto 8) ;seg(7)<='0' when wei="011" else'1';wei<="110" when a="000" else"101" when a="001" else"011";sel<=wei;COM1: process(clk)beginif clk'event and clk='1' thena<=a+1;if a="010" then a<="000";end if;end if;end process COM1;COM2: process(num)begincase num iswhen "0000"=>seg(6 downto 0)<="1000000";--0when "0001"=>seg(6 downto 0)<="1111001";--1when "0010"=>seg(6 downto 0)<="0100100";--2when "0011"=>seg(6 downto 0)<="0110000";--3when "0100"=>seg(6 downto 0)<="0011001";--4when "0101"=>seg(6 downto 0)<="0010010";--5when "0110"=>seg(6 downto 0)<="0000010";--6when "0111"=>seg(6 downto 0)<="1111000";--7when "1000"=>seg(6 downto 0)<="0000000";--8when "1001"=>seg(6 downto 0)<="0010000";--9--when "1010"=>seg(6 downto 0)<="1110111";--when "1011"=>seg(6 downto 0)<="1111100";--when "1100"=>seg(6 downto 0)<="0111001";--when "1101"=>seg(6 downto 0)<="1011110";--when "1110"=>seg(6 downto 0)<="1111001";--when "1111"=>seg(6 downto 0)<="1110001";--when others=>seg(6 downto 0)<="0111111";when others=>seg(6 downto 0)<="1000000";end case;end process COM2;end;电压表顶层程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity dianyabiao isPort (clk : in std_logic; --50m系统时钟din : in std_logic; --(tlc549)串行数据输出端clk_tlc549 : out std_logic;cs_tlc549 : out std_logic; --tlc549的片选信号输入端shift : out std_logic_vector(3 downto 0);--动态扫描时的位选信号cs_led : out std_logic_vector(1 downto 0);--发光二极管及数码管的片选信号输入端dout_led : out std_logic_vector(7 downto 0));--惧的发光器件的信号输出端end dianyabiao;architecture Behavioral of dianyabiao istype state is (st1,st2);signal current_state : state;type state1 is (st0,st1,st2);signal current_state1 : state1;type state2 is (st0,st1,st2,st3,st4);signal current_state2 : state2;signal reg_datain : std_logic_vector(7 downto 0);signal reg_dout : std_logic_vector(15 downto 0);signal dout : std_logic_vector(4 downto 0);signal reg_din : integer range 0 to 80000;signal clk1m,clk1k,clk100 : std_logic;begin--分频部分process(clk) --产生1MHz的频率variable cnt : integer range 0 to 50;beginif clk'event and clk='1' then cnt:=cnt+1;if cnt<50 thenif cnt<25 then clk1m<='0';else clk1m<='1';end if;else cnt:=0;end if;end if;end process;process(clk1m) --产生1KHz的频率variable cnt : integer range 0 to 1000;beginif clk1m'event and clk1m='1' then cnt:=cnt+1;if cnt<1000 thenif cnt<500 then clk1k<='0';else clk1k<='1';end if;else cnt:=0;end if;end if;end process;process(clk1k) --产生100Hz的频率variable cnt : integer range 0 to 10;beginif clk1k'event and clk1k='1' then cnt:=cnt+1;if cnt<10 thenif cnt<5 then clk100<='0';else clk100<='1';end if;else cnt:=0;end if;end if;end process;--tlc549的控制部分process(clk1k)variable cnt : integer range 0 to 7;variable datain : std_logic_vector(7 downto 0);beginif clk1k'event and clk1k='1' thencase current_state iswhen st1=> --将数据进行串并转换cs_tlc549<='0';datain:=datain(6 downto 0)&din; --将读取的数据向高位移位clk_tlc549<='1';current_state<=st2;when st2=>cs_tlc549<='0';clk_tlc549<='0';current_state<=st1;if cnt<7 then cnt:=cnt+1; --读取8位数据else cnt:=0;reg_din<=conv_integer(datain)*195; --每单位数字量乘以系数=当前电压值;reg_datain<=not(datain);end if;when others=>current_state<=st1;end case;end if;end process;--十进制-BCD码转换;process(clk100)variable reg : integer range 0 to 80000;variable d1,d2,d3,d4 : std_logic_vector(3 downto 0);beginif clk100'event and clk100='1' thencase current_state1 iswhen st0=>reg:=reg_din;d1:="0000";d2:="0000";d3:="0000";d4:="0000";current_state1<=st1;when st1=>if reg>9999 then reg:=reg-10000;d1:=d1+1;elsif reg>999 then reg:=reg-1000;d2:=d2+1;elsif reg>99 then reg:=reg-100;d3:=d3+1;elsif reg>9 then reg:=reg-10;d4:=d4+1;else current_state1<=st2;end if;when st2=>reg_dout<=d1&d2&d3&d4;current_state1<=st0;when others=>current_state1<=st0;end case;end if;end process;--动态扫描控制;process(clk1k)beginif clk1k'event and clk1k='1' thencase current_state2 iswhen st0=> --在发光二极管上显示模数转换后的数字量cs_led<="01"; --熄灭数码管shift<="1111";dout<="11111";current_state2<=st1;when st1=> --在数码管的最高位显示数据cs_led<="10"; --熄灭发光二极管shift<="0111"; --最高位数码管显示dout<='0'®_dout(15 downto 12); --小数点显示,并且将最高位的数据送给译码器current_state2<=st2;when st2=> --在数码管的次高位显示数据cs_led<="10"; --熄灭发光二极管shift<="1011"; --次高位数码管显示dout<='1'®_dout(11 downto 8); --小数点不显示,将次高位的数据送给译码器current_state2<=st3;when st3=> --在数码管的次低位显示数据cs_led<="10"; --熄灭发光二极管shift<="1101"; --次低位数码管显示dout<='1'®_dout(7 downto 4); --小数点不显示,将次低位的数据送给译码器current_state2<=st4;when st4=> --在数码管的最低位显示数据cs_led<="10"; --熄灭发光二极管shift<="1110"; --最低位数码管显示dout<='1'®_dout(3 downto 0); --小数点不显示,将最低位的数据送给译码器current_state2<=st0;when others=>current_state2<=st0;end case;end if;end process;--**将BCD码进行8段译码(包括小数点)**----**dout(4)代表小数点,低电平点亮**--code1: process (dout,reg_datain)begincase dout(3 downto 0) iswhen "0000"=>dout_led<=dout(4)&"0000001";when "0001"=>dout_led<=dout(4)&"1001111";when "0010"=>dout_led<=dout(4)&"0010010";when "0011"=>dout_led<=dout(4)&"0000110";when "0100"=>dout_led<=dout(4)&"1001100";when "0101"=>dout_led<=dout(4)&"0100100";when "0110"=>dout_led<=dout(4)&"0100000";when "0111"=>dout_led<=dout(4)&"0001111";when "1000"=>dout_led<=dout(4)&"0000000";when "1001"=>dout_led<=dout(4)&"0000100";--"DOUT_LED"送给数码管;whenothers=>dout_led<=reg_datain(7)®_datain(0)®_datain(1)®_datain(2)&re g_datain(3)®_datain(4)®_datain(5)®_datain(6);--"DOUT_LED"送给发光二极管;end case;end process;end Behavioral;四、波形仿真图:五、结论:本次实验达到了实验的基本要求,能够通过调节高精密变阻器实现0—2.5v的电压测量及显示。
电工和电子技术(A)1实验报告
实验一 电位、电压的测定及基尔霍夫定律1.1电位、电压的测定及电路电位图的绘制一、实验目的1.验证电路中电位的相对性、电压的绝对性2. 掌握电路电位图的绘制方法三、实验内容利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。
1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。
(先调准输出电压值,再接入实验线路中。
)2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。
3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。
图1-1四、思考题若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化?答:五、实验报告1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。
两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。
答:2. 完成数据表格中的计算,对误差作必要的分析。
答:3. 总结电位相对性和电压绝对性的结论。
答:1.2基尔霍夫定律的验证一、实验目的1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。
2. 学会用电流插头、插座测量各支路电流。
二、实验内容实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。
1. 实验前先任意设定三条支路电流正方向。
如图1-1中的I1、I2、I3的方向已设定。
闭合回路的正方向可任意设定。
2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。
3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。
4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。
5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。
三、预习思考题1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。
数字电压表课程设计实验报告
自动化与电气工程学院电子技术课程设计报告题目数字电压表的制作专业班级学号学生指导教师二○一三年七月一、课程设计的目的与意义1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作容和具体的设计方法。
2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。
3.通过设计也有助于复习和巩固以往的模电、数电容,达到灵活应用的目的。
在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。
在此过过程中培养从事设计工作的整体观念。
4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。
二、电路原理图数字电压表原理图三、课程设计的元器件1.课程设计所使用的元器件清单:2.主要元器件介绍(1)芯片ICL7107:ICL7107的工作原理双积分型A/D转换器ICL7107是一种间接A/D转换器。
它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。
它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。
积分器是A/D转换器的心脏,在一个测量周期,积分器先后对输入信号电压和基准电压进行两次积分。
比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。
时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。
它是由部的两个反向器以及外部的RC组成的。
其振荡周期Tc=2RCIn1.5=2.2RC 。
ICL7106A/D转换器原理图计数器对反向积分过程的时钟脉冲进行计数。
控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。
分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。
数字电压表实验报告
实验五电压表实验1.设计过程:按照“建立项目文件”--“新建VHDL源文件”--“配置管脚”--“编译下载”--“芯片测试”的顺序。
原理图:1.FPGA的系统时钟来自于小脚丫FPGA开发板配置的24MHz时钟晶振,连接FPGA的C1引脚。
2.实验借助FPGA底板自带的ADC模块,具体信息如下:ADC模块的功能时实现模拟信号转换数字信号,主要包含P1(测试点)、Radj1(10K的可调电位器)和U3(8位串行模数转换器ADC081S)。
在不外接其他信号的情况下,旋转电位计,ADC081S的输入电压在0到3.3V之间变化,通过模数转换实现ADC的采样,原理图连接如图所示:3.实验思路如下:1.根据ADC模块的时序图,完成将ADC模块和FPGA引脚连接起来。
时序图如下:2. 根据程序的框图把完整的程序写出来,显示模块和译码模块利用以前写过的进行例化使用。
以下是程序的框图:VHDL 汇编语言如下: 2.总代码: library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity dianyabiao is port( clk: in std_logic;--定义输入和输出 sda: in std_logic; sclk: buffer std_logic; cs: out std_logic; sdata: out std_logic; sck: out std_logic; rck: out std_logic );end dianyabiao;architecture one of dianyabiao is component led_573 --例化port( rst: in std_logic; clk_t_in : in std_logic; sdata: out std_logic; H: in std_logic; ledcode1,ledcode2,ledcode3,ledcode4: in std_logic_vector(6 downto 0); dot: in std_logic_vector(3 downto 0);sda sck cssck: out std_logic;rck: out std_logic);end component;component seg7coderport(data_in: in integer range 0 to 15;seg7_out: out std_logic_vector(6 downto 0));end component;signal ad_phase: integer range 0 to 19;signal clk_t_in: std_logic;signal ad_clk: std_logic;signal ad_out:std_logic_vector(7 downto 0);signal ad:std_logic_vector(7 downto 0);signal data_in1:integer range 0 to 15;signal data_in2:integer range 0 to 15;signal seg1,seg2: std_logic_vector(6 downto 0); --七段码beginu1:seg7coderport map(data_in => data_in1,seg7_out => seg1);u2:seg7coderport map(data_in => data_in2,seg7_out => seg2);u3:led_573port map(rst=>'1',clk_t_in => clk,H => '1',dot => "0000",ledcode1 => seg1,ledcode2 => seg2,ledcode3 =>"1111110",ledcode4 =>"1111110",sdata => sdata,sck => sck,rck => rck);--得到分频时钟,50-20000khz的分频process(clk)variable divcnt: integer range 0 to 1000;beginif clk'event and clk='1' thenif divcnt=1000 thendivcnt:=0;clk_t_in<=not clk_t_in;elsedivcnt:=divcnt+1;clk_t_in<=clk_t_in;end if;end if;end process;--ad模快process(clk_t_in)-- ad_clk<=clk_t_in;beginif clk_t_in'event and clk_t_in = '1' thensclk<=not sclk;if sclk='1' thenif ad_phase = 19 thenad_phase<=0;elsead_phase<=ad_phase+1;end if;end if;if sclk='0' and ad_phase>16 then --保证cs的值cs<='1';elsecs<='0';end if;if ad_phase=3 and sclk='0' then --值的输出ad(7)<=sda;end if;if ad_phase=4 and sclk='0' thenad(6)<=sda;end if;if ad_phase=5 and sclk='0' thenad(5)<=sda;end if;if ad_phase=6 and sclk='0' thenad(4)<=sda;end if;if ad_phase=7 and sclk='0' thenad(3)<=sda;end if;if ad_phase=8 and sclk='0' thenad(2)<=sda;end if;if ad_phase=9 and sclk='0' thenad(1)<=sda;end if;if ad_phase=10 and sclk='0' thenad(0)<=sda;end if;if ad_phase=11 and sclk='0' thenad_out<=ad;end if;end if;end process;process(ad_out) --完成将ad_out的八位输出转化成两位16进制的数begincase ad_out(3 downto 0) is--when "0000"=>data_in1<=0;when "0001"=>data_in1<=1;when "0010"=>data_in1<=2;when "0011"=>data_in1<=3;when "0100"=>data_in1<=4;when "0101"=>data_in1<=5;when "0110"=>data_in1<=6;when "0111"=>data_in1<=7; when "1000"=>data_in1<=8; when "1001"=>data_in1<=9; when "1010"=>data_in1<=10; when "1011"=>data_in1<=11; when "1100"=>data_in1<=12; when "1101"=>data_in1<=13; when "1110"=>data_in1<=14; when "1111"=>data_in1<=15; end case;case ad_out(7 downto 4) is when "0000"=>data_in2<=0; when "0001"=>data_in2<=1; when "0010"=>data_in2<=2; when "0011"=>data_in2<=3; when "0100"=>data_in2<=4; when "0101"=>data_in2<=5; when "0110"=>data_in2<=6; when "0111"=>data_in2<=7; when "1000"=>data_in2<=8; when "1001"=>data_in2<=9; when "1010"=>data_in2<=10; when "1011"=>data_in2<=11; when "1100"=>data_in2<=12; when "1101"=>data_in2<=13; when "1110"=>data_in2<=14; when "1111"=>data_in2<=15; end case;end process;end architecture;3.管脚分配:4.实验现象:旋转电压旋钮,电压从00变化到FF,现象如下面所示:。
交流电压测量实验报告
交流电压测量姓名 学号 日期一、实验目的:了解交流电压测量的基本原理,分析几种典型电压波形对不同检波特性电压表的响应,以及它们之间的换算关系,并对测量结果做误差分析。
二、实验原理:一个交流电压的大小,可以用峰值U ˆ,平均值U ,有效值U ,以及波形因数K F,波峰因数K P 等表征,若被测电压的瞬时值为)(t u ,则全波平均值为⎰=Tdtt u TU 0)(1有效值为 ⎰=T dt t u T U 02)(1波形因数为 U U K F =波峰因数为U UK P ˆ=而用来测量电压的指针式电压表中的检波器有多种形式,一般来说,具有不同检波特性的电压表都是以正弦电压的有效值来定度的,但是,除有效值电压表外,电压表的示值本身并不直接代表任意波形被测电压的有效值。
因此,如何利用不同检波特性的电压表的示值(即读数)来正确求出被测电压的均值U ,峰值U ˆ,有效值U ,这便是一个十分值得注意的问题。
根据理论分析,不同波形的电压加至不同检波特性的电压表时,要由电压表读数确定被测电压的Uˆ、U 、U ,一般可根据表1的关系计算。
从表1可知,用具有有效值响应的电压表和平均值响应的电压表分别对各种波形的电压测量时,若读数相同,只分别表示不同波形的被测电压有效值U 相同和平均值U 相同,而其余的并不一定相同。
三、实验设备:1、数字毫伏表1台;2、函数信号发生器1台;3、双踪示波器, 1台。
4、真有效值万用表 1个四、实验内容:调节函数信号发生器的输出幅度,使示波器的峰值读数为1V,观测各种电压表的读数六、思考题:1、实验过程中为了仪器的安全,电压表量程是否应尽量选大一些(如3V,10V甚至30V档)?。
数字电压表实验报告
数字电压表实验报告《数字电压表实验报告》实验目的:通过使用数字电压表,掌握其基本使用方法并了解电压测量的原理。
实验仪器:数字电压表、直流电源、导线等。
实验原理:数字电压表是一种用于测量电压的仪器,它通过将待测电压转换为数字信号并显示在屏幕上,从而实现对电压的测量。
其测量原理是利用电压测量电路将待测电压转换为相应的电流信号,再通过内部的模数转换器将电流信号转换为数字信号,最后通过显示装置显示出来。
实验步骤:1. 连接实验仪器:首先将数字电压表的正负极与待测电路的正负极相连,并确保连接正确无误。
2. 调整量程:根据待测电压的大小,选择合适的量程,并将数字电压表的旋钮调整到相应的量程档位。
3. 测量电压:打开待测电路的电源,观察数字电压表的显示数值,并记录下来。
4. 关闭电源:待测电压测量完成后,关闭待测电路的电源,并拔掉连接线。
实验结果:根据实验结果,我们可以得出待测电路的电压为多少,并且通过对比不同量程下的测量结果,了解数字电压表的量程选择原则。
实验结论:通过本次实验,我们掌握了数字电压表的基本使用方法,并了解了电压测量的原理。
同时,我们也了解了数字电压表的量程选择原则,并且通过实验结果验证了其准确性。
实验中的注意事项:在进行实验时,要注意连接线路的正确性,避免接错极性导致仪器损坏。
同时,在选择量程时要根据待测电压的大小进行合理选择,避免选择错误导致测量不准确。
总结:通过本次实验,我们对数字电压表的使用方法和原理有了更深入的了解,这对我们今后的实验操作和电路调试都有着重要的指导意义。
希望通过不断的实践和学习,我们能够更加熟练地掌握数字电压表的使用技巧,并且在工程实践中能够准确地测量电压并进行相应的调试。
(2023)交流电路等效参数的测定实验报告(一)
(2023)交流电路等效参数的测定实验报告(一)实验报告:交流电路等效参数的测定实验目的了解并掌握交流电路等效参数的测定方法,掌握串联电路和并联电路的测量方法和计算公式。
实验器材和材料•频率发生器•电阻箱•电感箱•电容箱•数字电压表•示波器•电缆等实验步骤1.按照实验电路连接图搭建串联电路和并联电路。
2.分别测量串联电路和并联电路的电压、电流、电阻、电感、电容值。
3.计算串联电路和并联电路的等效电阻、等效电感和等效电容值。
4.用数字电压表和示波器对实验结果进行验证。
实验数据与结果分析1.串联电路的测量数据:•电源电压:U = 10V•电阻值:R = 10Ω•电感值:L = 0.1H•电容值:C = 0.01F•电流值:I = 0.5A 根据串联电路的计算公式,可得:Z eq=R+j(ωL−1ωC ),等效电阻R eq=R,等效电感L eq=L−1ω2C,等效电容C eq=C。
其中ω为角频率。
2.并联电路的测量数据:•电源电压:U = 10V•电阻值:R = 10Ω•电感值:L = 0.1H•电容值:C = 0.01F•电流值:I = 0.5A 根据并联电路的计算公式,可得:Z eq=(jωL)−1(−jωC)−1 (jωL)−1+(−jωC)−1+R ,等效电阻R eq=(jωL)−1(−jωC)−1(jωL)−1+(−jωC)−1+R,等效电感L eq=Rω2L1−ω2LC ,等效电容C eq=Rω2(1−ω2LC)。
其中ω为角频率。
3.验证实验结果。
用数字电压表和示波器对实验结果进行验证,结果表明实验数据与计算结果一致,验证了实验结果的准确性。
实验结论实验结果表明,我们成功测量了串联电路和并联电路的参数并求得了等效参数,验证了实验结果的准确性。
同时掌握了测量交流电路等效参数的方法和计算公式。
实验中的注意事项1.实验时应严格按照电路连接图进行搭建。
2.使用数字电压表和示波器时应注意测量过程避免出现误差。
电子电工实验参考报告
电子电工实验报告目录实验一电位、电压的测定及电路电位图的绘制实验二基尔霍夫定律的验证实验三线性电路叠加性和齐次性的研究实验四受控源研究实验六交流串联电路的研究实验八三相电路电压、电流的测量实验九三相电路功率的测量实验一电位、电压的测定及电路电位图的绘制一.实验目的1.学会测量电路中各点电位和电压方法。
理解电位的相对性和电压的绝对性;2.学会电路电位图的测量、绘制方法;3.掌握使用直流稳压电源、直流电压表的使用方法。
二.原理说明在一个确定的闭合电路中,各点电位的大小视所选的电位参考点的不同而异,但任意两点之间的电压(即两点之间的电位差)则是不变的,这一性质称为电位的相对性和电压的绝对性。
据此性质,我们可用一只电压表来测量出电路中各点的电位及任意两点间的电压。
若以电路中的电位值作纵坐标,电路中各点位置(电阻或电源)作横坐标,将测量到的各点电位在该平面中标出,并把标出点按顺序用直线条相连接,就可得到电路的电位图,每一段直线段即表示该两点电位的变化情况。
而且,任意两点的电位变化,即为该两点之间的电压。
在电路中,电位参考点可任意选定,对于不同的参考点,所绘出的电位图形是不同,但其各点电位变化的规律却是一样的。
三.实验设备1.直流数字电压表、直流数字毫安表2.恒压源(EEL-I、II、III、IV均含在主控制屏上,可能有两种配置(1)+6V(+5V),+12 V,0~30V 可调或(2)双路0~30V可调。
)3.EEL-30组件(含实验电路)或EEL-53组件四.实验内容实验电路如图1-1所示,图中的电源U S1用恒压源中的+6V(+5V)输出端,U S2用0~+30V可调电源输出端,并将输出电压调到+12V。
1.测量电路中各点电位以图1-1中的A点作为电位参考点,分别测量B、C、D、E、F各点的电位。
用电压表的黑笔端插入A点,红笔端分别插入B、C、D、E、F各点进行测量,数据记入表1-1中。
以D点作为电位参考点,重复上述步骤,测得数据记入表1-1中。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
交流数字电压表电子电路课程设计2011年9月13日至9月23日(一)、技术指标1、整体功能要求交流数字电压表的功能是,测量正弦电压有效值,以数码管显示测量结果。
2、系统结构要求交流数字电压表的系统结构框图如下图:交流数字电压表的系统结构框图3、电气指标(1)、被测信号频率范围:10Hz~10kHz。
(2)、被测信号波形:正弦波。
(3)、显示数字含义:有效值。
(4)、档位:分三档:1.0V~9.9V0.10V~0.99V0.010V~0.099V。
(5)、显示方式:两位数码显示。
4、扩展指标可自动换档5、设计条件电源条件:直流稳压电源提供±5V。
可供选择的器件:LM324(TL084) 运算放大器2片LM139 二四线译码器1片CC4052四选一模拟开关1片74161四位二进制计数器1片4511显示译码器2片2AP9检波二极管2只5.1V稳压管2只发光二极管3只28C64存储器1片ADC0804A/D转换器1片门电路、各种阻容件自定。
(二)、方案设计数字电压表(Digital V oltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流或交流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。
它利用A/D转换原理,将被测模拟量转换成数字量,并用数字方式显示测量结果的电子测量仪表。
而交流是指输入的信号是正弦波,电压表需要显示的是正弦信号的有效值。
电路中需有交直流转换。
由测量电压范围可知,显示输入电压的有效值在0.01V至9.9V范围,分成三档。
意味着输入正弦信号的峰峰值为0.028V 至28V。
因此,输入需有量程转换及衰减电路。
为此,总体方案如下图:交流数字电压表的组成框图(三)、单元电路设计1、输入电路作为仪表,为减少对被测信号的影通常输入阻抗都比较高,一般在1MΩ左右。
另被测信号的最大有效值为9.9V,正弦信号的峰峰值为28V,这么大的信号,无法正常工作,因此,在输入电路中要进行衰减,可作一10:1的电路。
2、量程放大器输入电压三档:1.0V~9.9V (2.8Vp-p~28Vp-p)0.10V~0.99V (0.28Vp-p~2.8Vp-p)0.010V~0.099V (0.028Vp-p~0.28Vp-p)经输入电路衰减10倍后,各档电压:1.0V~9.9V 衰减后:(0.10V~0.99V )0.10V~0.99V 衰减后:(0.010V~0.099V )0.010V~0.099V 衰减后:(0.0010V~0.0099V)为使后续电路在同一个数量级上工作,选衰减后(0.10V~0.99V )为标准输入,则应对另两档进行放大。
量程放大电路如下图:输入及量程放大器电路图中R1、R2构成输入电路,实现10:1转换。
3V稳压管是防止输入信号过大,损坏运放而加。
R3、R4、R5可通过公式计算得到:放大10倍:1+R3/(R4+R5)=10放大100倍:1+(R3+R4)/R5=100,取R3=100Ω时,计算出R4=900 Ω、R5=9KΩ(可用470+430和470K+430K实现)。
3、交流转直流(AC-DC)输入的正弦信号要经检波电路,实现交直流转换。
这里介绍运放半波整流电路。
4、全波整流电路在半波整流电路的基础上,加一级加法器,可构成全波整流。
在加法器的反馈之路加一个电容,构成一个积分器,可把全波波形变为直流电压。
5、可变增益放大器经整流后的直流电压要加到AD转换器中,将不同的电压值转换成不同的二进制代码。
ADC0804是AD转换器,其输出位为八位,若所加参考电压为5.12V时,其每一台阶电压为ΔVS=Vref/28=5.12/256=0.02V。
我们所测量的电压在0.10V~0.99V范围内,每个差值为0.11-0.10=0.01V,因此,要将输入的电压放大。
加到0804的电压范围为0.2V~1.98V。
这可用可变增益放大器来实现。
6、AD转换器ADC0804模数转换器是逐次逼近型转换器,其参考电路如下图:7、B-BCD码的转换ADC0804转换的二进制数,要转换成数码管显示的BCD码,这一任务可由存储器来实现。
ADC0804输入电压的范围为0.2V~1.98V,转换后的相应的二进制数为0AH~63H。
将转换后的二进制数作为存储器的地址,而把相应的BCD码值填入到存储单元中,一旦选中了地址,相应的数据就被取出,并送到显示译码器中。
8、显示译码及显示用4511作为显示译码器,推动数码管显示出被测电压值。
9、量程显示电路对应不同的输入电压,应用发光二极管来指示所测电压的量程范围。
10、完整电路图如下页:(四)、电路连接及其调试一.模电部分1.运放好坏的测定2.运放正负电源不能接错4脚:+5V11脚:-5V3.量程放大器的检测Ui:1.0~9.9V *1档Ui:0.1~0.99V *10档Ui:0.01~0.09V *100档4.半波整流(波形见下图)5.全波整流(波形见下图)交直流转换后的波形6.可变增益放大器Ui:1V时,调整W1,使Uo=2VUi:9.9V时,调整W2,使Uo=1.98V反复调整W1,W2使输入在1~9.9V之间变化时,输入电压在0.2~1.98V之间变化注意:u为瞬时值,U为有效值,U为平均值7.调整仪表信号源:正弦波,频率自定(1KHZ~10KHZ)示波器:偶和方式:直流触发选择:选择所测通道,波形稳定显示稳压源:+-5V两组二.数电部分1.A/D转换VIN:加直流电压0.2~1.98VDB0~DB7:0AH~63H2.B/BCD用28C64实现转换地址:0AH~63H数据:10~99(BCD码)3.译码及显示先单调译码器和显示后鱼ADC0804,和28C64联通后,在ADC0804的VIN(+)端加0.2V~1.98V电压,数码管相应显示1.0~9.9V每输入20MV为一台阶(五)、实验数据记录(六)、实验心得和经验总结通过二个星期的电子电工课程设计实习,对绘制原理图以及电路工作原理及其面包板上电路的连接等有了一个基本的了解,对制作元器件交流数字电压表的装机与调试有一定的感性和理性认识,打好了日后学习计算机硬件基础。
同时实习使我获得了交流数字电压表的实际生产知识和装配技能,培养了我理论联系实际的能力,提高了我分析问题和解决问题的能力,增强了独立工作的能力。
1.熟悉面包板连接电路常用工具的使用及其维护与修理。
2.基本掌握手工连接技术,能够独立的完成简单电子产品的安装与连接。
熟悉电子产品的安装工艺的生产流程。
3.熟悉印制电路板设计的步骤和方法,熟悉手工制作印制电板的工艺流程,能够根据电路原理图,元器件实物设计并制作电路板。
4.熟悉常用电子器件的类别、型号、规格、性能及其使用范围,能查阅有关的电子器件图书。
5.能够正确识别和选用常用的电子器件,并且能够熟练使用普通万用表。
.面包板上电路板图的设计则是挑战我的快速接受新知识的能力。
在我过去一直没有接触过面包板电路板图的前提下,用一天的时间去接受、消化老师讲的内容,不能不说是对我的一个极大的挑战。
在这过程中主要是锻炼了我与我与其他同学的交流沟通的能力。
因为我对电路知识不是很清楚,可以说是模糊。
但是当我有什么不明白的地方去向其他同学请教时,即使他们正在忙于思考,也会停下来帮助我,消除我得盲点。
在实习过程中,我熟悉了交流数字电压表的工艺流程、设计步骤和方法。
最终虽说我的交流数字电压表装配与验收成功了,但是这个实习迫使我认识到自己的知识还不健全,动手设计能力还有待提高。
过这次实习,在电工方面我们掌握了常用的电工工具,如剪刀、镊子、螺丝刀、万用表等使用方法及注意事项。
在电子方面,熟悉了常用电子器件类别,如电容、电阻、二极管等型号、规格、性能、使用范围及基本测试方法。
在理论知识方面,同学们系统地学习了:①电路元器件的连接技术②元器件基本知识和测试③万用表的使用④面包板版图设计和电路的连接⑤各种芯片的工作原理⑥万用表的实验原理。
我们学会了基本的面包板连接技术,交流数字电压表的各个位置电路图的检测与调试,知道了电子产品的装配过程,我们还学会了电子元器件的识别及质量检验,知道了在电压表的的装配工艺,这些都我们的培养动手能力及严谨的工作作风,也为我们以后的工作打下了良好的基础。
而且这在我们以后的计算机专业课学习硬件中应该也是很有用的,通过2个星期的学习,我觉得自己在以下几个方面与有收获:1、对电子工艺的理论有了初步的系统了解。
我们了解到了面包板连接普通元件与电路元件的技巧、面包板电路板图的设计制作与工艺流程、交流数字电压的工作原理与组成元件的作用等。
这些知识不仅在课堂上有效,对以后的电子工艺课的学习有很大的指导意义,在日常生活中更是有着现实意义。
2、对自己的动手能力是个很大的锻炼。
实践出真知,纵观古今,所有发明创造无一不是在实践中得到检验的。
没有足够的动手能力,就奢谈在未来的科研尤其是实验研究中有所成就。
在实习中,我锻炼了自己动手技巧,提高了自己解决问题的能力。
比如做交流数字电压表装配与调试时,电路恐的间距特别小,稍不留神,就交叉在一起了,但是我还是完成了任务。
3.这次实习,使我更深刻地了解到了实践的重要性”,通过实习我们更加体会到了“学以致用”这句话的道理,终于体会到“实习前的自大,实习时的迷惘,实习后的感思”这句话的含义了,有感思就有收获,有感思就有提高。