数字电子技术基础 ch06-6
数字电路技术基础 全 清华大学出版社
BCD码除842l码外,常用的还有2421码、余3码、 余3循环码、BCD格雷码等等
《数字电子技术基础》
1.2 基本逻辑函数及运算定律
基本概念 逻辑:事物的因果关系 逻辑运算的数学基础:逻辑代数 在二值逻辑中的变量取值: 0/1 逻辑代数中的变量称为逻辑变量,用字
1
11
UH
00
0
UL
0
0 t
图1.1.3 矩形脉冲数字表示方法
1.1.2 数制和码制
《数字电子技术基础》
一、数制 ①每一位的构成 ②从低位向高位的进位规则
我们常用到的: 十进制,二进制,八进制,十六进制
《数字电子技术基础》
十进制,二进制,八进制,十六进制
逢二进一 逢八进一
逢十进一
逢十六进一
《数字电子技术基础》
(a)
(b)
(c)
图1.1.1几种常见的脉冲波形
(d)
脉冲信号的参数
《数字电子技术基础》
Um tW
T
(a)
0.9Um
0.5Um
Um
0.1Um tr
tW tf T
(b)
图1.1.2 矩形脉冲参数
《数字电子技术基础》
矩形脉冲数字表示法
通常规定:0表示矩形脉冲的低电平;1表 示矩形脉冲的高电平,如图1.1.3波形所示。
十进制转换为二进制
2 129
余1
k0
2 64
余0
k1
2 32
余0
k2
2 16
余0
k3
28
余0
k4
24
余0
ch06-6康华光-《数字电子技术》第六版..
6.6.1 GAL的构造 6.6.2 GAL的输出规律宏单元 6.6.3 GAL的把握字
1. 时序可编程规律器件的主要类型
〔1〕 通用阵列规律〔GAL〕 在PLA和PAL根底上进展起来的增加型器件.电路设计者可 依据需要编程,对宏单元的内部电路进展不同模式的组合, 从而使输出功能具有确定的灵敏性和通用性。
1 来 自2 与 阵 列
8
OLMC
VCC
00
三态控制 选择器
01 TS
10 MUX
11 SEL
SEL
乘积项
选择器
0 PT MUX
1 1
OR(n)
8
输出 选择器 SEL
0O
>C1
Q
MUX
1
1D
D(n)
Q
I/O (n)
XOR(n) 10×
反馈
F 11× MUX 0×1
0×0 SEL
反馈 选择器
异或门输出为或门输出OR(n) 与XOR(n)进行异或来运自相邻算的 I/O。(m)
10 MUX
11 SEL
SEL
பைடு நூலகம்
乘积项
选择器
0 PT MUX
1 1
OR(n)
8
输出 选择器 SEL
0O
>C1
Q
MUX
1
1D
D(n)
Q
10×
F 11× MUX 0×1
0×0 SEL
反馈 选择器
I/O (n)
来自相邻的 I/O(m)
OMUX:依据AC0和AC1(n)准备OLMCLKC是AC1(组m) 合输OE出还是存放器 输出模式
数字电路技术基础(全)-清华大学出版社
• 反演规则 -------对任一逻辑式
变换顺序 先括号, 然后乘,最后加
YY
, ,0 1,1 0, 原变量 反变量 反变量 原变量
不属于单个变量的 上的反号保留不变
《数字电子技术基础》
• 应用举例:
Y A( B C ) CD Y ( A BC )( C D ) AC BC A D BC D
《数字电子技术基础》
最小项的编号:
最小项
ABC ABC A BC A BC AB C AB C AB C ABC
取值 ABC 000 001 010 011 100 101 110 111
对应 10进制数 0 1 2 3 4 5 6 7
编号
m0 m1 m2 m3 m4 m5 m6 m7
《数字电子技术基础》
0011 )8421BCD
(0100101001 1000 )8421-BCD (1298 )D
BCD码除842l码外,常用的还有2421码、余3码、 余3循环码、BCD格雷码等等
《数字电子技术基础》
1.2 基本逻辑函数及运算定律
基本概念 逻辑:事物的因果关系 逻辑运算的数学基础:逻辑代数 在二值逻辑中的变量取值: 0/1 逻辑代数中的变量称为逻辑变量,用字 母A、B、C、…表示。其取值只有0或者l两 种。这里的0和1不代表数量大小,而表示两 种不同的逻辑状态,如,电平的高、低;晶 体管的导通、截止;事件的真、假等等。
1.2.2 逻辑代数的运算定律及规则
一、运算定律
证明方法:推演 真值 表
《数字电子技术基础》
用真值表证明 A B A B 的正确性。
《数字电子技术基础》
二、逻辑代数的常用公式
《数字电子技术基础》第六版_第03章_门电路_1117
1 2
VDD
CMOS反相器在使用时应尽 量避免长期工作在BC段。
第 章 门电路
数字电子技术基础 第六版
3.3.2 CMOS反相器的电路结构和工作原理
三、输入噪声容限
在保证输出高、低电平基本不变的条件下,输入电平 的允许波动范围称为输入端噪声容限。
当Vi偏离Vm和Vn一定范围时,Vo基本不变
VNH VOH(min) VIH (min) VNL VIL(max) VOL(max)
恒流区(饱和或放大区): iD 基本上由VGS决定,与VDS 关系不大
条件:(1)源端沟道未夹断 (2)漏端沟道予夹断
第 章 门电路
数字电子技术基础 第六版
3.3.1 MOS管的开关特性
输出特性曲线(分三个区域)
可变电阻区:当VDS 较低(近似为0), VGS 一定时, VDS iD 常 数 ( 电 阻 ) 这个电阻受VGS 控制、可变。
第 章 门电路
数字电子技术基础 第六版
《数字电子技术基础》
(第六版)
第三章 门电路
第 章 门电路
第3章 门电路
▪ 概述 ▪ 半导体二极管电路 ▪ CMOS门电路 ▪ TTL门电路
数字电子技术基础 第六版
第 章 门电路
半导体基础知识
数字电子技术基础 第六版
补:半导体基础知识
第 章 门电路
半导体基础知识(1)
成
ECL
门
电
PMOS
路
MOS型(Metal-Oxide-Semiconductor,MOS) NMOS
CMOS
TTL — 晶体管-晶体管逻辑集成电路
MOS — 金属氧化物半导体场效应管集成电路
(全)数字电子技术基础课后答案夏路易
《数字电子技术基础教程》习题与参考答案(2010.1)第1章习题与参考答案【题1-1】将下列十进制数转换为二进制数、八进制数、十六进制数。
(1)25;(2)43;(3)56;(4)78解:(1)25=(11001)2=(31)8=(19)16(2)43=(101011)2=(53)8=(2B)16(3)56=(111000)2=(70)8=(38)16(4)(1001110)2、(116)8、(4E)16【题1-2】将下列二进制数转换为十进制数。
(1)10110001;(2)10101010;(3)11110001;(4)10001000 解:(1)10110001=177(2)10101010=170(3)11110001=241(4)10001000=136【题1-3】将下列十六进制数转换为十进制数。
(1)FF;(2)3FF;(3)AB;(4)13FF解:(1)(FF)16=255(2)(3FF)16=1023(3)(AB)16=171(4)(13FF)16=5119【题1-4】将下列十六进制数转换为二进制数。
(1)11;(2)9C;(3)B1;(4)AF解:(1)(11)16=(00010001)2(2)(9C)16=(10011100)2(3)(B1)16=(1011 0001)2(4)(AF)16=(10101111)2【题1-5】将下列二进制数转换为十进制数。
(1)1110.01;(2)1010.11;(3)1100.101;(4)1001.0101解:(1)(1110.01)2=14.25(2)(1010.11)2=10.75(3)(1001.0101)2=9.3125【题1-6】将下列十进制数转换为二进制数。
(1)20.7;(2)10.2;(3)5.8;(4)101.71解:(1)20.7=(10100.1011)2(2)10.2=(1010.0011)2(3)5.8=(101.1100)2(4)101.71=(1100101.1011)2【题1-7】写出下列二进制数的反码与补码(最高位为符号位)。
数字电子技术基础6
•CB555的功能表 输入
输出
RD
VI1
VI2
VO
TD状态
0
低
导通
1
>2VCC/3 >VCC/3
低
导通
1
<2VCC/3 >VCC/3 不变
不变1ຫໍສະໝຸດ <2VCC/3 <VCC/3
高
截止
1
>2VCC/3 <VCC/3
高
截止
•555能在宽电源电压范围内工作,可承受较大的负载电流。
•双极型555定时器的电源电压:5~16v,最大负载电流:200mA
• •单稳态触发器具有下列特点: •(1)电路有一个稳态和一个暂稳态。 •(2)在外来触发脉冲作用下,电路由稳态翻转到暂稳态。 •(3)暂稳态是一个不能长久保持的状态,经过一段时间后, 电路会自动返回到稳态。暂稳态的持续时间与触发脉冲无关, 仅决定于电路本身的参数。
PPT文档演模板
数字电子技术基础6
• 在t1时刻,ui1(uo)由0变为1,于是uo1(ui2)由1变为0,uo2 由0变为1。由于电容电压不能跃变,故ui3必定跟随ui2发生负跳 变。这个低电平保持uo为1,以维持已进入的这个暂稳态。 • 在这个暂稳态期间,uo2(高电平)通过电阻R对电容C充电, 使ui3逐渐上升。在t2时刻,ui3上升到门电路的阈值电压UT,使uo (ui1)由1变为0,uo1(ui2)由0变为1,uo2由1变为0。同样由于 电容电压不能跃变,故ui3跟随ui2发生正跳变。这个高电平保持uo
输出电平的变化滞后于输入,形成回环。
• ②与双稳态触发器和单稳态触发器不同, 施密特触发器属于“电平触发”型电路,不依
赖于边沿陡峭的脉冲。
精品文档-数字电子技术基础(刘振庭)-第2章
于逻辑关系:当A、B中只要有一个输入为低电平时,对应的二
极管导通,输出为低电平;只有当A、B同时输入高电平时,输
出才为高电平。所以该电路实现了逻辑与的关系,逻辑表达式
为
Y=A·B
(2-1)
5
第2章 逻 辑 门 电 路 6
第2章 逻 辑 门 电 路 7
第2章 逻 辑 门 电 路
图2.2 3输入与门波形图
2.2.5 74TTL系列集成门电路 1. TTL数字集成电路的国际标准化系列产品 (1) 74系列。 (2) 74H系列。 (3) 74S系列。 (4) 74LS系列。 (5) 74ALS系列。 (6) 74AS系列。 (7) 74F系列。
58
第2章 逻 辑 门 电 路 59
第2章 逻 辑 门 电 路 60
52
第2章 逻 辑 门 电 路
图2.22 三态输出门
53
第2章 逻 辑 门 电 路 54
第2章 逻 辑 门 电 路
图2.23 另一种三态门逻辑符号
55
第2章 逻 辑 门 电 路
图2.24 三态门用于总线传输
56
第2章 逻 辑 门 电 路
图2.25 三态门的应用
57
第2章 逻 辑 门 电 路
8
第2章 逻 辑 门 电 路
2. 二极管或门 或门是一种能够实现“或”运算的逻辑电路。图2.3所示 为二极管或门电路及其逻辑符号,其中A、B为输入变量,Y为 输出变量。
9
第2章 逻 辑 门 电 路 10
第2章 逻 辑 门 电 路
输入和输出的电平关系见表2.3。从表中可知,当A、B中
只要有一个输入高电平时,输出Y即为高电平;只有当A、B都
UOH=5-0.7-0.7≈3.6 V
数字电子技术基础全套课件
全套课件
第1章
数制与编码
1.1 模拟信号与数字信号
1.1.1 模拟信号与数字信号的概念
模拟(analog)信号
信号的幅度量值随着时间的延续 (变化)而发生连续变化。
用以传递、加工和处理模拟信号的电子电路被称为模拟电路。 数字(digital)信号
信号的幅度量值随着时间的延续(变化) 而发生不连续的,具有离散特性变化
用于处理数字信号的电路,如传送、存储、变换、算术运算 和逻辑运算等的电路称为数字电路。
1.1.2 数字电路与模拟电路的区别
表1-1 数字电路与模拟电路的主要区别
电路类型 研究内容 数字电路 输入信号与输出信号间的逻辑关系 数值 1 信号的 特征 0 0 时间 时间 在时间上和数值上是连续变化的电信号 图解法,等效电路,分析计算 0 模拟电路 如何不失真地进行信号的处理
1.2.3 十六进制数表述方法
十六进制数采用0、1、2、3、4、5、6、7、8、9 和A、 B、 C、 D、 E、 F十六个数码。 10 11 12 13 14 15
( N )16 an 1 (16) n 1 a1 (16)1 a0 (16) 0 a1 (16) 1 a m (16) m
1.4 数字系统中数的表示方法与格式
1.4.1 十进制编码
1. 8421 BCD码 在这种编码方式中,每一位二进制代码都代表一个固定的数值, 把每一位中的1所代表的十进制数加起来,得到的结果就是它所代表 的十进制数码。由于代码中从左到右每一位中的1分别表示8、4、2、 1(权值),即从左到右,它的各位权值分别是8、4、2、1。所以把 这种代码叫做8421码。8421 BCD码是只取四位自然二进制代码的 前10种组合。
数字电子技术第六
1 01 0 10 1 0 11 0 11 0
39
(4)拟定电路旳逻辑功能. •X=0时
00
01 10 11
电路进行加1计数 •X=1时
00
11 10 01
电路进行减1计数 。
X/Y Q2Q1 00
0/1 1/0
电路功能:可逆计数器
11
Y可了解为进位或借位端。
0/0
1/0
01
1/0 0/0 1/1
分析:找出给定时序电路旳逻辑功能 即找出在输入和CLK作用下,电路旳次态和输出。
一般环节: ①从给定电路写出存储电路中每个触发器旳驱动方程 (输入旳逻辑式),得到整个电路旳驱动方程。
②将驱动方程代入触发器旳特征方程,得到状态方程。
③从给定电路写出输出方程。
几种概念
有效状态:在时序电路中,但凡被利用了旳状态。 有效循环:有效状态构成旳循环。
X/Y Q2Q1
状态图
0/0
00
1/0
01
0/1
1/0 1/0
0/0
1/1
11
0/0
10
38
根据状态转换表,画出波形图。
CP
Q1nQ0n
Q Q n1 n1 10
Z
A
A= 0 A= 1
0 0 0 1 1 1 0 QQ10
0 1 1 0 0 0 0 QQ21
10 11 01 0
11 00 10 1 Z
这四种措施从不同侧面突出了时序电路逻 辑功能旳特点,它们在本质上是相同旳,能够 相互转换。
6.2 时序逻辑电路旳分析措施
时序电路旳分析环节:
1
电路图
时钟方程、 驱动方程和
输出方程
数字电子技术基础第六章
解 (1) 写出电路方程式 ① 时钟方程 ② 驱动方程
D2= Q0 Q1
数字电子技术基础第六章
(2) 求电路状态方程
D2= Q0 Q1
数字电子技术基础第六章
(3)列电路状态转换真值表
D2= Q0 Q1
CP2 D2 CP1 D1 CP0 D0
000
00 1
10
0
1
001
0
1
00
1
0
010
00 0
10
Z(Z1,…Zj)
Q(Q1,…Qr)
各信号之间的逻辑关系方程组: Z=F1(X,Qn) Y=F2(X,Qn) Qn+1=F3(Y,Qn)
Y(Y1,…Yr)
输出方程 驱动方程 状态方程
数字电子技术基础第六章
6.1.2 时序逻辑电路的分类
1、从控制时序状态的脉冲源来分: 同步: 存储电路里所有触发器有一个统一的时钟源
数字电子技术基础第六章
例:分析下图逻辑电路。
解:电路中,FF1的时钟CP1未与时钟源CP相连,属异步时序电路。 ⑴ 写各逻辑方程式:
。
① 各触发器的时钟信号逻辑方程: FF0:CP0=CP,上升沿触发; FF1:CP1=Q0,仅当Q0由0→1时,Q1状态才可能改变,否则 Q1 状态保持。 ② 输出方程:
数字电子技术基础第六章
(2)用D触发器实现
数字电子技术基础第六章
例2 试设计一个同步时序电路,要求电路中触发器Q0、Q1、 Q2及输出Y端的信号与CP时钟脉冲信号波形满足下图 所示的时序关系。
解: 据题意可直接由波形图画出电路状态图。
(1) 确定触发器的类型和个数
选择3个上升沿触发的JK 触发器。
《数字电子技术基础》第六章习题答案
第六章存储器和可编程器件6.1 填空1、按构成材料的不同,存储器可分为磁芯和半导体存储器两种。
磁芯存储器利用 正负剩磁 来存储数据;而半导体存储器利用 器件的开关状态 来存储数据。
两者相比,前者一般容量较 大 ;而后者具有速度 快 的特点。
2、半导体存储器按功能分有 ROM 和 RAM 两种。
3、ROM 主要由 地址译码器 和 存储矩阵 两部分组成。
按照工作方式的不同进行分类,ROM 可分为 固定内容的ROM 、 PROM 和 EPROM 三种。
4、某EPROM 有8数据线,13位地址线,则其存储容量为 213×8 。
5、PLA 一般由 与ROM 、 或ROM 和 反馈逻辑网络 三部分组成。
6.2 D 0A 0D 1m(3,6,9,12,15)D 2A 1A 0D 3m(0,5,9,13)==∑=⋅=∑⎧⎨⎪⎪⎪⎩⎪⎪⎪ 6.3地址译码器A1A0D3 D2 D1 D0B1B0m 0m 156.4 1。
F Q Q Q Q Q Q Q F Q Q Q Q Q Q Q Q Q F Q Q 110212102210210210310=⋅+⋅+⋅=⋅⋅+⋅+⋅⋅=⋅⎧⎨⎪⎪⎩⎪⎪2、CP F1F2F36.5A AB BC C i-1i-1S i C i6.6 用PLA 实现BCD8421码十进制加法计数器和相应的显示译码电路。
D 1Q1Q1D2 Q2 Q2D3 Q3Q3D4Q4Q49 87654 3210a b c d e f ga b cdef g下面资料为赠送的地产广告语不需要的下载后可以编辑删除就可以,谢谢选择,祝您工作顺利,生活愉快!地产广告语1、让世界向往的故乡2、某沿河楼盘:生活,在水岸停泊3、一江春水一种人生4、某钱塘江边楼盘:面对潮流经典依旧5、海景房:站在家里,海是美景;站在海上,家是美景6、以山水为卖点的楼盘:山水是真正的不动产7、某城区的山腰上的楼盘:凌驾尊贵俯瞰繁华8、某地势较高的楼盘:高人,只住有高度的房子9、某学区房:不要让孩子输在起跑线上10、尾盘:最后,最珍贵11、回家就是度假的生活12、生命就该浪费在美好的事情上我们造城——2、我的工作就是享受生活——3、我家的客厅,就是我的生活名片——4、在自己的阳台看上海的未来——5、公园不在我家里我家住在公园里——6、这里的花园没有四季——7、***,装饰城市的风景——8、***,我把天空搬回家——9、房在林中,人在树下——10、生活,就是居住在别人的爱慕里——11、到〖星河湾〗看看好房子的标准——12、好生活在〖珠江〗——13、爱家的男人住〖百合〗城市岸泊:城市的岸泊,生活的小镇生活之美不缺少,在于发现情趣不在于奢华,在于精彩生活有了美感才值得思考……玫瑰庄园:山地生态,健康人生卓越地段,超大社区一种完整且完善的环境,像原生一样和谐原生景象自然天成人本理念精品建筑知名物业智能安防诚信为本实力铸造比华利山庄:海岸生活——引领世界的生活方式海岸生活——22公里的奢华海岸生活——高尚人生的序曲海岸生活——人与自然的融合苹果二十二院街:人文自然现代铺的蔓伸荣和山水美地:让世界向往的故乡香港时代:时代精英开拓未来领衔建筑,彰显尊贵绿地崴廉公寓:金桥40万平方米德国音乐艺术生活汇都国际:昆明都心,城市引擎财富之都风情之都梦幻之都文化之都商贸之都西部首座巨型商业之城颠峰商圈的原动力,缔造西部财富新领地新江湾城:绿色生态港国际智慧城新江湾城,一座承载上海新梦想的城区上海城投,全心以赴建设知识型,生态型花园城区风和日丽:入住准现楼,升值在望湾区大户,空中花园大格局下的西海岸市中心:市中心少数人的专属颠峰珍贵市中心的稀世名宅正中心城市颠峰领地颠峰勾勒稀世名宅繁华不落幕的居家风景地利皇者尽得先机稀世经典180席阳光国际公寓:阳光金桥来自纽约的生活蓝本钟宅湾:海峡西岸生态人居休闲商务区汇聚国际财富与人居梦想的绝版宝地二十一世纪是城市的世纪,二十一世纪也是海洋的世纪谁控制了海洋,谁就控制了一切站在蓝色海岸的前沿,开启一个新的地产时代东南门户海湾之心海峡西岸生态人居休闲商务区让所有财富的目光聚集钟宅湾,这里每一天都在创造历史上海A座(科维大厦):创富人生的黄金眼掘金上海!创富人生!远东大厦:花小公司的钱,做大公司的事未来城:无可挑战的优势无可限量的空间绿地集团:居住问题的答疑者,舒适生活的提案人茶马驿栈:精明置业时机享受附加值财富最大化雪山下的世外桃源茶马古道上千年清泉之乡金地格林春岸:城市精英的梦想家园繁华与宁静共存,阔绰身份不显自露建筑覆盖率仅20%,令视野更为广阔占据最佳景观位置,用高度提炼生活完美演绎自然精髓,谱写古城新篇章创新房型推陈出新,阔气空间彰显不凡365天的贴身护卫,阔度管理以您为尊金地格林小城:心没有界限,身没有界限春光永驻童话之城我的家,我的天下东渡国际:梦想建筑,建筑梦想齐鲁置业:传承经典,创新生活比天空更宽广的是人的思想创新远见生活嘉德中央公园:一群绝不妥协的居住理想家完成一座改变你对住宅想象的超越作品极至的资源整合丰富住家的生活内涵苛求的建造细节提升住家的生活品质地段优势,就是永恒价值优势设计优势,就是生活质量优势景观优势,就是生命健康优势管理优势,就是生活品味优势空中华尔兹:自然而来的气质,华尔兹的生活等级享受,没有不可逾越的极限所谓完美的习惯,是舒适空间的心情定格!临江花园:经典生活品质风景中的舞台美林别墅:源欧美经典纯自然空间住原味别墅赏园林艺术淡雅怡景温馨自然钱江时代:核心时代,核心生活核心位置创意空间优雅规划人文景观财富未来城市精神,自然风景,渗透私人空间泰达时尚广场:是球场更是剧场城市经济活力源时尚天津水舞中国未来都会休闲之居创意时尚天天嘉年华健康快乐新境界商旅新天地缔造好生意城市运营战略联盟,参与协作,多方共赢华龙碧水豪园:浪漫一次,相守一生东方莱茵:品鉴品位宜家宜人建筑一道贵族色彩品鉴一方美学空间品位一份怡然自得荡漾一股生命活力坐拥一处旺地静宅体会一种尊崇感受常青花园(新康苑):新康苑生活感受凌驾常规大非凡生活领域成功人士的生活礼遇拥有与自己身份地位相等的花园社区在属于自己的宴会餐厅里会宾邀朋只与自己品味爱好相同的成功人士为邻孩子的起步就与优越同步酒店式物管礼遇拥有[一屋两公园前后是氧吧]的美极环境水木清华:住在你心里福星惠誉(金色华府):金色华府,市府街才智名门——释放生命的金色魅力真正了解一个人,要看他的朋友,看他的对手。
数字电子技术基础第6章
03
组合逻辑电路
组合逻辑电路的基本概念
组合逻辑电路的定义
组合逻辑电路的表示方法
由门电路组成的数字电路,其输出仅 取决于当前的输入。
逻辑函数表达式、逻辑电路图、真值 表等。
组合逻辑电路的特点
无记忆功能,输入发生变化时,输出 立即响应。
组合逻辑电路的分析与设计
组合逻辑电路的分析步骤 列出真值表;
写出逻辑函数表达式;
THANKS
感ห้องสมุดไป่ตู้观看
03
区别
数字信号是离散的,而模拟信号是连续的;数字信号只有高、低两种状
态,而模拟信号具有无限多种状态;数字信号抗干扰能力强,传输距离
远,而模拟信号易受干扰,传输距离较短。
数字电子技术的应用
计算机技术
计算机的核心部件如CPU、内 存等都采用了数字电子技术。
通信技术
数字通信具有抗干扰能力强、 传输距离远、保密性好等优点 ,广泛应用于移动通信、光纤 通信等领域。
数字密码锁的实现方式
数字密码锁的实现方式有多种,可以通过硬件实 现,如使用集成电路或可编程逻辑器件,也可以 通过软件实现,如使用单片机或微处理器。
数字频率计的设计与实现
01
数字频率计简介
数字频率计是一种利用数字电 路技术实现频率测量的电子设 备,具有测量准确度高、测量 范围广等优点。
03
02 数字频率计的组成
状态
时序逻辑电路的状态,通 常用二进制代码表示。
状态转移图
描述时序逻辑电路状态转 移规律的图形,由状态转 移表推导得出。
时序逻辑电路的分析与设计
分析方法
通过分析输入输出关系、 状态转移图和真值表,确 定时序逻辑电路的功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
5. 事件控制
always后面紧跟着“事件控制表达式”。逻辑电路中的敏 感事件通常有两种类型:电平敏感事件和边沿触发事件。 在组合逻辑电路和锁存器中,输入信号电平的变化通常会 导致输出信号变化,在Verilog HDL中,将这种输入信号 的电平变化称为电平敏感事件。 在同步时序逻辑电路中,触发器状态的变化仅仅发生在时 钟脉冲的上升沿或下降沿,Verilog HDL中用关键词 posedge(上升沿)和negedge(下降沿)进行说明,这就 是边沿触发事件。 在always语句内部的过程赋值语句有两种类型: 阻塞型赋值语句(Blocking Assignment Statement) 非阻塞型赋值语句(Non-Blocking Assignment Statement)
试用Verilog语言描述具有高电平使能的3线-8线译码器. module ecoder3to8_bh(A,En,Y); input [2:0] A,En; output reg [7:0]Y; integer k; //声明一个整型变量k always @(A, En) // begin Y = 8‟b1111_1111; //设译码器输出的默认值 for(k = 0; k <= 7; k = k+1) //下面的if-else语句循环8次 if ((En==1) && (A== k) ) 循环8次 Y[k] = 0; //当En=1时,根据A进行译码 else Y[k] = 1; //处理使能无效或输入无效的情况 end endmodule
例:请描述具有异步清零、同步置数的计数器,并要求 具有可逆计数和保持的功能。
module cntr(q, aclr, clk, func, d); input aclr, clk; input [7:0] d; //Controls the functionality input [1:0] func; output [7:0] q; reg [7:0]q;
always @ (posedge CP or negedge CR) if (~CR) Q <= 4'b0000; else case ({S1,S0})
2„b00: Q <= Q;
2„b01: Q <= {Q[2:0],Dsr}; 2„b10: Q <= {Dsl,Q[3:1]};
//保持
//右移 //左移
6.6.1 行为级建模基础
下面介绍行为级建模中经常使用的语句:
1. always语句结构及过程赋值语句
2. 条件语句(if-else)
3. 多路分支语句(case-endcase)
4. for循环语句(例如 for等)
1. always语句的一般用法:
always @(事件控制表达式) begin:块名 块内局部变量的定义; 过程赋值语句(包括高级语句);
//Nonblocking (<=)
initial begin #5 end a <= b; #10 c <= d;
6.6.2 触发器与移位寄存器的行为级建模
例:具有异步清零功能的上升沿D触发器 module dff(q,d,clr,clk); output q; input d,clr,clk; reg q; always @(negedge clr or posedge clk) if (~clr) q<=0; else q<=d; endmodule
注意,过程赋值语句只能给寄存器型变量赋值,因此,输出 变量Y的数据类型定义为reg。
3、多路分支语句(case语句)
是一种多分支条件选择语句,一般形式如下 case (case_expr) item_expr1: statement1; item_expr2: statement2; …… default: default_statement; //default语句可以省略 endcase 注意:当分支项中的语句是多条语句,必须在最前面写上 关键词begin,在最后写上关键词end,成为顺序语句块。 另外,用关键词casex和casez表示含有无关项x和高阻z的 情况。
4、for循环语句
一般形式如下
for (initial_assignment; condition; step_assignment) statement;
initial_assignment 为循环变量的初始值。 condition为循环的条件, 若为真,执行过程赋值语句statement, 若不成立,循环结束,执行for后面的语句。 step_assignment为循环变量的步长,每次迭代后,循环变量 将增加或减少一个步长。
建议:时序电路的行为描述采用非阻塞赋值。
6.6.2 触发器与移位寄存器的行为级建模
例:具有同步清零功能的上升沿D触发器。
module dff(q,d,clr,clk); output q; input d,clr,clk; reg q;
always @(posedge clk) if (~clr) q<=0; else q<=d; endmodule
6.6 Verilog HDL行为级建模
6.6.1 行为级建模基础 6.6.2 触发器与移位寄存器的行为级建模
6.6.3 计数器的行为级建模
6.6.4 状态图的行为级建模
6.6 Verilog HDL行为级建模
行为级建模就是描述数字逻辑电路的功能和算法。
在Verilog中,行为级描述主要使用由关键词initial或always定 义的两种结构类型的语句。一个模块的内部可以包含多个 initial或always语句。 initial语句是一条初始化语句,仅执行一次,经常用于测试模 块中,对激励信号进行描述,在硬件电路的行为描述中,有 时为了仿真的需要,也用initial语句给寄存器变量赋初值。 initial语句主要是一条面向仿真的过程语句,不能用于逻辑 综合 。这里不介绍它的用法。 在always结构型语句内部有一系列过程性赋值语句,用来描 述电路的功能(行为)。
行为描述举例
例 用Verilog HDL语言描述一个上升沿D触发器。 module dff (q,clk,data); output q; input clk,data; reg q; 时钟下降沿:
always @(posedge clk)
q = data; endmodule
@(negedge clk)
例:对具有使能端En 的4选1数据选择器的行为进行Verilog描述。 当En=0时,数据选择器工作,En=1时,禁止工作,输出为0。 module mux4to1_bh (D, S, Y); input [3:0] D,[1:0] S; output reg Y; always @(D, S, En) //2001, 2005 syntax begin if (En==1) Y = 0; //En=1时,输出为0 else //En=0时,选择器工作 case (S) 2‟d0: Y = D[0]; 2‟d1: Y = D[1]; 2‟d2: Y = D[2]; 2‟d3: Y = D[3]; endcase end endmodule
表6.6.1 计数器的功能表 CR 0 1 Load × 0 EP ET × × × × 功 能 复位(Q=0) 预置数据 (Q=Din)
1
1
1
1
1.× × 0
1 1
输出保持不变
递增计数
6.6.3 计数器的行为级建模
module counter74x161 (EP,ET,Load,Din,CP,CR,Q,RCO); input EP,ET,Load,CP,CR; input [3:0] Din; //数据输入 output RCO; //进位输出 output reg [3:0] Q; //数据输出 wire EN; //使能信号,内部节点 assign EN = EP & ET; assign RCO = ET &(Q == 4'b1111); always @(posedge CP or negedge CR) if (~CR) Q <= 4'b0000; //CR=0,异步清零 else if (~Load) Q <= Din; //Load=0,同步置数 else if (~EN) Q <= Q; //输出保持不变 else Q <= Q+ 1'b1; //增1计数 endmodule
过程赋值语句
2、条件语句( if语句)
条件语句就是根据判断条件是否成立,确定下一步的运算。 Verilog语言中有3种形式的if语句: (1) if (condition_expr) true_statement; (2) if (condition_expr)true_statement; else fale_ statement; (3) if (condition_expr1) true_statement1; else if (condition_expr2) true_statement2; else if (condition_expr3) true_statement3; …… else default_statement; if后面的条件表达式一般为逻辑表达式或关系表达式。执行if 语句时,首先计算表达式的值,若结果为0、x或z,按“假” 处理;若结果为1,按“真”处理,并执行相应的语句。
2„b11: Q <= D;
endcase endmodule
//并行输入
6.6.3 计数器的行为级建模
例 试用行为级描述方式对一个4位二进制同步递增计数器建模。 其功能与74LS161类似,要求具有异步置零、同步置数、保持 输出数据不变和递增计数的功能,并具有进位输出信号RCO, 即计数器计到最大值15时,使RCO=1。其功能表如表6.6.1所 示。