数字电路课程设计报告-同步N进制计数器的设计与仿真资料

合集下载

基于台multisim11平的N进制计数器设计与仿真

基于台multisim11平的N进制计数器设计与仿真

基于multisim11平台的N进制计数器设计与仿真【电源网】计数器是记忆时钟脉冲个数的数字电路,作为一种最典型的时序逻辑电路,在各类数字系统中有着广泛的应用。

计数器的设计方法主要有两种,1)以时钟触发器为核心加上必要的门电路进行设计;2)利用集成计数器构建,这种方法从设计原理到硬件实现都相对简单,因而较为常用。

基于集成计数器的N 进制计数器设计方法有归零法和置数法。

文中以最常用的4位二进制(十六进制)同步加法计数器74LS161及十进制同步加法计数器74LS160为核心,以三十六进制为例,介绍了归零法设计N进制计数器的方法,并用Multisim10软件进行仿真。

Multisim10软件由美国国家仪器(National Instruments,NI)公司于2007年推出,该软件具有以下特点:1)直观的图形界面;2)庞大的元器件库;3)丰富的测试仪器;4)完备的分析工具;5)强大的仿真能力;广泛应用于电子电路的教学、设计和科研中。

1 归零法设计原理1.1 集成计数器功能描述集成计数器由芯片厂家生产后,其功能已固化在集成芯片中,其功能常用状态表描述。

表1是4位二进制同步加法计数器74LS161的状态表,表中是异步清零控制端,低电平有效;是同步置数控制端,低电平有效;ENP和ENT是计数器工作状态控制端,高电平计数;CLK是计数脉冲输入端;D、C、B、A是并行数据输入端;QD、QC、QB、QA是计数器状态输出端;“×”表示任意状态,“↑”表示时钟脉冲上升沿。

.十进制同步加法计数器74LS160的状态表与74LS161类似,区别在于计数状态是按十进制(8421BCD码表示)规律变化。

1.2 归零法设计原理设现有M进制集成计数器,设计N进制计数器。

若M>N,从全零初始状态S0开始计数,第1个时钟到来时,计数状态为S1,第N-1时钟到来时,计数状态为SN-1,第N时钟到来时,利用集成计数器的清零端或是置数端,使计数状态返回全零初始状态S0,原M进制集成计数器中的SN~SM-1这M-N个状态被跳过。

实验4:同步计数器及其应用实验报告

实验4:同步计数器及其应用实验报告

实验4:同步计数器及其应用实验报告
一、实验目的
1、了解可编程数字系统设计的流程
2、掌握Quartus II 软件的使用方法
3、掌握原理图输入方式设计数字系统的方法和流程
4、掌握74LS161同步16进制计数器的特点及其应用
二、实验设备
1、计算机:Quartus II 软件
2、Altera DE0 多媒体开发平台
3、集成电路:74LS10
4、集成电路:74LS161
三、实验内容
1、74LS161逻辑功能的测试
2、用74LS161实现12进制计数(异步清零)
3、用74LS161实现12进制计数(同步置数)
四、实验原理
74LS161
1、74LS161:异步清零、同步置数四位二进制计数器
2、引脚的定义:
使用74161实现16进制和12进制
1)首先使用quartus软件建立原理图,首先实现16进制,所以只
需要将需要的输入输出接到相应的引脚上,其中需要注意的是
我们需要让这个板子开始工作,所以需要将T和P引脚接响应
的高电压,然后将cp信号接入相应的输入;q0q1q2q3接到相
应的输出就可以了,然后编译。

现在在建立波形文件完成仿真,
通过仿真结果就可以看到自己的电路是否正确。

最后一步就是
实现在FPGA上的应用,我们需要做的就是给原来的原理图分
配相应的引脚,然后重新编译后,插入线就可以看到仿真结果
了。

2)12进制可以采取两种方式,也就是同步置数和异步清零两种
方式,我使用的异步清零,从而只需要对q0q1q2q3在12的时
候执行清零的动作就可以了,也就是加一个而输入的与非门就
可以了。

五、实验结果。

数字电路仿真实训实验报告

数字电路仿真实训实验报告

课程设计(大作业)报告课程名称:数字电子技术课程设计设计题目:多功能数字时钟的设计、仿真院系:信息技术学院班级:二班设计者:张三学号:79523指导教师:张延设计时间:2011年12月19日至12月23日信息技术学院昆明学院课程设计(大作业)任务书一、设计目的为了熟悉数字电路课程,学习proteus软件的使用,能够熟练用它进行数字电路的仿真设计,以及锻炼我们平时独立思考、善于动手操作的能力,培养应对问题的实战能力,提高实验技能,熟悉复杂数字电路的安装、测试方法,掌握关于多功能数字时钟的工作原理,掌握基本逻辑们电路、译码器、数据分配器、数据选择器、数值比较器、触发器、计数器、锁存器、555定时器等方面已经学过的知识,并能够将这些熟练应用于实际问题中,我认真的动手学习了数字时钟的基本原理,从实际中再次熟悉了关于本学期数字电路课程中学习的知识,更重要的是熟练掌握了关于proteus软件的使用,收获颇多,增强了自己的工程实践能力。

另外,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

二、设计要求和设计指标设计一个数字时钟,具有“秒”、“分”、“时”计时和显示功能。

小时以24小时计时制计时;具有校时功能,能够对“分”、“时”进行调整;能够进行整点报时,报时规则为:在59Min51s后隔秒发出500Hz的低音报时信号,在59min59s时发出1kHz的高音报时信号,声响持续1s。

数电实验六——同步计数器的设计

数电实验六——同步计数器的设计

数电实验六——同步计数器的设计1、实验题⽬实验六同步计数器的设计2、实验⽬的熟悉J-K 触发器的逻辑功能掌握J-K 触发器构成同步计数器3、实验原理本实验采⽤集成J-K 触发器74LS73 构成时序电路,其符号、功能、特性⽅程和状态转换图见下图:符号:JK 触发器功能表:状态转换图:主从结构的J-K 触发器在结构上和制造⼯艺的要求尚还有缺点,使⽤时要求的⼯作条件较严格,负载能⼒也往往达不到理论值。

在门电路中往往认为输⼊端悬空相当于接了⾼电平,在短时间的试验期间不会出错。

但在J-K 触发器中,凡是要求接“1”的,⼀定要接⼊⾼电平,否则会出现错误的翻转。

触发器的两个输出的负载过分悬殊,也会出现误翻。

J-K 触发器的清零输⼊端在⼯作时⼀定要接⾼电平或连接到试验箱的清零端⼦。

下⾯简要的介绍时序逻辑电路的设计步骤,如下图所⽰:4、实验内容1. ⽤J-K 触发器和门电路设计⼀个特殊的12 进制计数器,其⼗进制的状态转换图为:2. 考虑增加⼀个控制变量D,当D = 0 时,计数器按内容1⽅式(顺时针)运⾏,当D = 1 时,⽆论计数器当前处于什么状态,计数器按内容1的反⽅向(逆时针)运⾏。

5、实验分析内容⼀:1.根据实验要求可以的该特殊⼗⼆进制计数器状态转换图。

2.确定电路所需触发器数⽬。

有效状态为m=12,求所需触发器数⽬n。

由2n≥m=12可得n=43.画出次态卡诺图4.求出每个触发器的状态⽅程1011110010101001x x x 0001 0111 1000 0110 0101 0011 0100 0010 X 00 01 10 11 00 01 10 11 Q3nQ2n Q1nQ0n5.求各触发器的驱动⽅程内容⼆:根据内容⼀的⽅法设计出逆时针⽅向运⾏的电路各触发器的驱动⽅程:根据D*(逆时针⽅向驱动⽅程)+D*(顺时针⽅向驱动⽅程)的⽅法,就能得出结合电路的驱动⽅程。

J0 = K0 =1J1 = K1 = DQ0 + DQ0J2 = DQ1Q0 + DQ1Q0Q3K2 = D(Q3 + Q1Q0) + DQ1+Q0J3 = DQ2Q1Q0 + DQ1+Q2K3 = DQ2 + DQ1+Q2+Q0模拟电路图:频率为1HZ时,观察得到的结构符合要求,能够实现顺时针运⾏和逆时针运⾏,并能相互转换。

数电仿真课程设计报告

数电仿真课程设计报告

数电仿真课程设计报告一、课程目标知识目标:1. 学生能理解数字电路的基本原理,掌握常见数字电路元件的功能及使用方法。

2. 学生能运用所学知识,分析并设计简单的数字电路系统。

3. 学生了解数电仿真软件的基本操作,能运用软件进行电路搭建、仿真测试及分析。

技能目标:1. 学生掌握数字电路的绘图技巧,能准确绘制电路图。

2. 学生具备运用数电仿真软件进行电路设计和调试的能力。

3. 学生能够通过小组合作,共同分析问题、解决问题,提高团队协作能力。

情感态度价值观目标:1. 学生对数字电路产生兴趣,增强对电子技术的学习热情。

2. 学生在课程学习中,培养严谨的科学态度和良好的工程意识。

3. 学生通过课程实践,体会科技发展对社会进步的推动作用,增强社会责任感。

课程性质:本课程为电子技术课程的一部分,侧重于数字电路的设计与仿真。

学生特点:学生为高中生,具备一定的电子技术基础,具有较强的学习能力和动手能力。

教学要求:结合学生特点,注重理论与实践相结合,提高学生的实际操作能力和创新能力。

在教学过程中,注重培养学生的团队协作能力和解决问题的能力。

通过本课程的学习,使学生能够掌握数字电路的基本知识,具备实际设计和应用能力。

二、教学内容本课程教学内容主要包括以下几部分:1. 数字电路基础知识:介绍数字电路的基本概念、原理,包括逻辑门、触发器、计数器等常见数字电路元件的功能及分类。

教材章节:第1章 数字电路基础内容安排:逻辑门(1课时)、触发器(1课时)、计数器(1课时)2. 数电仿真软件操作:教授如何使用数电仿真软件进行电路搭建、仿真测试及分析。

教材章节:第2章 数电仿真软件内容安排:软件安装与界面介绍(1课时)、电路搭建与仿真(2课时)3. 数字电路设计与分析:通过实例讲解数字电路的设计方法,使学生掌握电路分析技巧。

教材章节:第3章 数字电路设计与分析内容安排:简单电路设计(2课时)、复杂电路分析(2课时)4. 实践项目:组织学生进行小组合作,完成一个具有实际应用价值的数字电路设计项目。

Multisim 数字电路仿真实验(计数器)

Multisim 数字电路仿真实验(计数器)

Multisim 数字电路仿真实验1.实验目的用Multisim 的仿真软件对数字电路进行仿真研究。

2.实验内容实验19.1 交通灯报警电路仿真交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下列情况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯同时指示,而其他情况下均属于故障状态。

出故障时报警灯亮。

设字母R、Y、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮,低电平表示灯灭。

字母Z 表示报警灯,高电平表示报警。

则真值表如表19.1 所示。

逻辑表达式为:Z = R Y G + RG + RY若用与非门实现,则表达式可化为:Z = R Y G ⋅RG ⋅RYMultisim 仿真设计图如图19.1 所示:图19.1 的电路图中分别用开关A、B、C 模拟控制红、黄、绿灯的亮暗,开关接向高电平时表示灯亮,接向低电平时表示灯灭。

用发光二极管LED1 的亮暗模拟报警灯的亮暗。

另外用了一个5V直流电源、一个7400 四2 输入与非门、一个7404 六反相器、一个7420 双4 输入与非门、一个500欧姆电阻。

图19.1 交通灯报警电路原理图在仿真实验中可以看出,当开关A、B、C 中只有一个拨向高电平,以及B、C 同时拨向高电平而A 拨向低电平时报警灯不亮,其余情况下报警灯均亮。

实验19.2 数字频率计电路仿真数字频率计电路的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。

如果用2 位数码管,则测量的最大频率是99Hz。

数字频率计电路Multisim 仿真设计图如图19.2 所示。

其电路结构是:用二片74LS90(U1 和U2)组成BCD 码100 进制计数器,二个数码管U3 和U4 分别显示十位数和个位数。

四D 触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数器,产生闸门控制信号和计数器清0 信号。

信号发生器XFG1 产生频率为1Hz、占空比为50%的连续脉冲信号,信号发生器XFG2 产生频率为1-99Hz(人为设置)、占空比为50%的连续脉冲信号作为被测脉冲。

数字电路课程设计的报告

数字电路课程设计的报告

数字电路课程设计的报告电⼦技术课程设计报告题⽬:数字计步器院系:物理与电⽓信息⼯程学院专业:电⽓⼯程及其⾃动化组长:郭天朋学号: 20120604046 组员 1 :吕殿鹏学号: 20120604047 组员 2 :马奔腾学号: 20120604048 组员 3 :马冲学号: 20120601007 组员 4 :刘晓坦学号: 20121401045 指导教师:蔡⽂霞2014年6⽉25⽇电⼦技术课程设计报告⼀、选题⽬的和意义:⼈们越来越注重⾃⼰的健康,跑步成为⼀种⽅便⽽⼜有效的锻炼⽅式。

但是如何知道⾃⼰跑了多少步,多远的路程?计步器可以帮助⼈们实时掌握锻炼情况。

它的主要功能是检测步数,通过步数和步幅可计算⾏⾛的路程。

步幅信息可通过⾏⾛固定的距离如20m来计算或是直接输⼊,⾼级的计步器还可以计算⼈体消耗的热量。

本课题的设计有深远意义,尤其是对那些⽼年⼈以及⼀些待复健康的病⼈来说是⼀个⾮常好的辅助医疗设备。

要实现检测步数⾸先要对⼈⾛路的姿态有⼀定了解。

⾏⾛时,脚、腿、腰部,⼿臂都在运动,它们的运动都会产⽣相应的加速度,并且会在某点有⼀个峰值。

从脚的加速度来检测步数是最准确的,但是考虑到携带的不⽅便以及实验室⽔银开关的诸多不便,我们⽤⼀个逻辑开关或者脉冲信号来来代替脚的每⼀次⾛步所引起的振动。

本课设主要是运⽤了逻辑元件74LS161的计数功能,把四个74LS161逻辑元件逐次相联起来,已达到⽤4个数码管显⽰4位有效数字的计步器,并且可以按照⼗进制向⾼位进位。

通过逻辑开关的功能控制整个计步器的计数与暂停。

第⼀个74LS161元件的CP接⼊逻辑开关,输出接⼊第⼆元件的脉冲信号接⼊⼝,依次将四个元件级联。

本设计数字计步器就是按照这个程序来达到进位计数的功能,和计数器的功能相似。

数字计步器在⽇常⽣活中主要运⽤在医疗健⾝等电⼦产品中,如数字跑步器、计步器等诸多相关电⼦设备。

该设计可以合理运⽤到⼤范围的产品设计中,提⾼现代电⼦产品⽔平,更好的服务于社会,有着很⼴⼤的发展前景和⽤途。

同步计数器实验报告

同步计数器实验报告

同步计数器实验报告集成计数器实验报告实验三集成计数器一、实验目的1、掌握集成计数器构成N进制的计数器的连接方法。

二、预习要求1.熟悉芯片各引脚排列。

2.理解构成模长M进制计数器的原理。

3.实验前设计好实验所用电路,画出实验用的接线图。

三、实验内容1、设计一模长M = 60进制的计数电路。

1)用同步连接反馈预置法实现。

2)用同步连接反馈清零法实现。

2、按设计图连接电路。

CP接频率为1Hz的方波脉冲,各计数器的输出Q3Q2Q1Q0接七段BCD显示译码器CD4511的DCBA输入端,CD4511的输出接七段数码显示器。

3、.接通实验箱电源,观察七段数码显示器计数状态的变化过程,并记录该状态循环。

四、实验器材数字逻辑实验箱,74LS160,74LS00,74LS20。

五、实验报告要求1、60进制计数器的电路设计图、连线图和计数器的测试结果。

4、测试过程中出现的问题及解决办法。

六、实验用元件介绍1.集成计数器74LS160本实验所用集成芯片为异步清零同步预置四位8421码10进制加法计数器74LS161,集成芯片的各功能端如图所示,其功能见附表。

V QQQQ74LS160功能表RDET EP CP D D DD QQ Q Q 0××××××××0 0 0 010××↑D C B A D C B A 110 ××××××保持11×0×××××保持111 1↑××××计数10 1 2 374LS160为异步清零计数器,即RD端输入低电平,不受CP控制,输出端立即全部为“0”,功能表第一行。

74LS160具有同步预置功能,在RD端无效时,LD端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入DCBA,即所谓“同步”预置功能(第二行)。

同步计数器的实验报告

同步计数器的实验报告

同步计数器的实验报告同步计数器的实验报告引言:同步计数器是数字电路中常用的一种电路,它可以实现对输入信号的计数和同步输出。

本实验旨在通过搭建同步计数器电路,探究其工作原理和应用。

一、实验目的:1. 理解同步计数器的原理和工作方式;2. 掌握同步计数器的搭建方法;3. 分析同步计数器的应用场景。

二、实验器材和材料:1. 74LS74型D触发器芯片;2. 74LS47型BCD-7段数码管芯片;3. 电路实验板;4. 连接线。

三、实验步骤:1. 将74LS74芯片插入电路实验板中,注意芯片的方向;2. 连接74LS74芯片的时钟输入端、清零端、D输入端和输出端;3. 将74LS47芯片插入电路实验板中,并连接数码管的输入端;4. 连接电源,开启实验板。

四、实验原理:同步计数器是由多个触发器组成的,每个触发器都具有时钟输入端和输出端。

当时钟信号到来时,触发器根据输入端的电平状态改变输出端的电平状态。

同步计数器的输入信号会依次经过各个触发器,每个触发器都会对输入信号进行计数,当计数达到一定值时,触发器的输出端会产生一个脉冲信号,作为下一级触发器的时钟输入信号,从而实现同步计数。

五、实验结果:根据实验步骤搭建好同步计数器电路后,我们可以观察到数码管上的数字会随着时钟信号的输入而不断变化。

例如,当输入一个低电平信号时,数码管上的数字会逐次加1;当输入一个高电平信号时,数码管上的数字会逐次减1。

这说明同步计数器可以实现对输入信号的计数,并且可以根据需要进行加法计数或减法计数。

六、实验分析:同步计数器广泛应用于各种计数场景中。

例如,在工业自动化中,同步计数器可以用于对生产过程中的产品数量进行计数,从而实现自动化控制;在电子游戏中,同步计数器可以用于计分功能的实现;在计算机中,同步计数器可以用于时序控制和同步信号的生成等。

七、实验总结:通过本次实验,我们深入了解了同步计数器的原理和工作方式,并成功搭建了同步计数器电路。

n进制计数器的设计与制作实验报告

n进制计数器的设计与制作实验报告

n进制计数器的设计与制作实验报告一、实验目的本实验的目的是设计并制作一个n进制计数器,通过实践掌握数字电路设计和实现的方法和技巧,加深对数字电路原理的理解。

二、实验原理1. n进制计数器n进制计数器是一种能够进行n进制计数的电路,其中n为正整数。

在二进制计数器中,n=2。

在n进制计数器中,每当计数到n-1时,输出信号会发生一次溢出,并从0开始重新计数。

2. 计数器的类型根据计数方式不同,常见的计数器类型有同步计数器和异步计数器。

同步计数器需要所有触发器同时改变状态才能进行下一次计数;异步计数器则只需要一个触发器改变状态即可进行下一次计数。

3. 触发器触发器是数字电路中常用的存储元件,可以存储一个比特位(0或1)。

常见的触发器有SR触发器、D触发器、JK触发器等。

三、实验设备与材料1. 74LS74 D型正沿触发双稳态触发器2. 74LS90 4位十进制/BCD分频/技术性升降沿触发式二分频循环式计数器3. 7404 六反相器芯片4. 面包板5. 连接线四、实验步骤1. 按照电路原理图连接电路,将74LS90计数器的Q0-Q3输出接到7404反相器的输入端。

2. 将7404反相器的输出端连接到74LS74触发器的D端,同时将74LS74触发器的时钟端连接到74LS90计数器的CLK端。

3. 将最高位(Q3)的输出接到LED灯,用于观察计数情况。

4. 将面包板上电源线和地线连接好,开启电源。

五、实验结果经过实验,可以看到LED灯随着计数值不断变化。

当计数值达到7时,LED灯会熄灭并重新从0开始计数。

六、实验分析与结论本实验成功设计并制作出了一个n进制计数器。

通过实践掌握了数字电路设计和实现的方法和技巧,并加深了对数字电路原理的理解。

七、存在问题与改进方案1. 实验中使用的是四位十进制/BCD分频/技术性升降沿触发式二分频循环式计数器,如果需要进行其他进制的计数,则需要更换不同类型的计数器芯片。

2. 实验中使用的是74LS系列芯片,如果需要进行高速计数,则需要更换更快的芯片。

同步计数器的设计实验报告

同步计数器的设计实验报告

同步计数器的设计实验报告同步计数器的设计实验报告篇一:实验六同步计数器的设计实验报告实验六同步计数器的设计学号:姓名:一、实验目的和要求1.熟悉JK触发器的逻辑功能。

2.掌握用JK触发器设计同步计数器。

二、实验仪器及器件三、实验预习1、复习时序逻辑电路设计方法。

⑴ 逻辑抽象,得出电路的状态转换图或状态转换表① 分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。

通常都是取原因(或条件)作为输入逻辑变量,取结果作输出逻辑变量。

② 定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。

③ 按照题意列出电路的状态转换表或画出电路的状态转换图。

通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。

⑵ 状态化简① 等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。

② 合并等价状态,使电路的状态数最少。

⑶ 状态分配① 确定触发器的数目n。

因为n个触发器共有2n种状态组合,所以为获得时序电路所需的M个状态,必须取2n1<M2n② 给每个电路状态规定对应的触发器状态组合。

⑷ 选定触发器类型,求出电路的状态方程、驱动方程和输出方程① 根据器件的供应情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。

② 根据状态转换图(或状态转换表)和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。

⑸ 根据得到的方程式画出逻辑图⑹ 检查设计的电路能否自启动① 电路开始工作时通过预置数将电路设置成有效状态的一种。

② 通过修改逻辑设计加以解决。

⑺ 设计步骤简图图3 设计步骤简图2、按实验内容设计逻辑电路画出逻辑图。

设计思路详情见第六部分。

电路图如下:四、实验原理1.计数器的工作原理递增计数器----每来一个CP,触发器的组成状态按二进制代码规律增加。

递减计数器-----按二进制代码规律减少。

双向计数器-----可增可减,由控制端来决定。

2.集成J-K触发器74LS73⑴ 符号:图1 J-K触发器符号⑵ 功能:表1 J-K触发器功能表⑶ 状态转换图:图2 J-K触发器状态转换图⑷ 特性方程:Qn1JQnKQn⑸ 注意事项:① 在J-K触发器中,凡是要求接“1”的,一定要接高电平(例如5V),否则会出现错误的翻转。

实验6_计数器的设计与仿真

实验6_计数器的设计与仿真

74162的管脚图和真值表



LDN是置数端,CLRN是清零端,都是低电 平有效 DCBA是输入端,RCO是进位输出端 ENT和ENP是使能控制端十进制计数源自的原理图十进制计数器的波形图


End time=2.4us,Grid size=50ns clrn、ldn、ent、enp的低电平长度分别为 100、200、300、400ns in[3..0]=2
七进制计数器的原理图
七进制计数器的波形图


End time=2.4us,Grid size=50ns ldn、ent、enp的低电平长度分别为100、 200、300ns in[3..0]=0
《计算机组成原理》
实验6:计数器的设计与仿真
实验内容

设计一个十进制计数器 设计一个N进制计数器
实验目的

了解时序电路的经典设计方法 了解通用同步计数器 了解任意进制计数器的设计方法
十进制计数器的实验原理

在日常生活上,十进制的计数器是最方便使用的 计数器。74162就是具有4位十进制计数和同步 置数及同步清零的十进制计数器,它是以二进制 自然数顺序,由“0000”计数至“1001”。
N进制计数器的实验原理

由于CLRN端同步清零,因此可以用输出的 反馈信号来进行清零,实现任意进制计数


例如:需要做7进制计数,即0-6进行计数,将 6转换为二进制数是0110,即QB和QC同时为1的 时候进行反馈清零,在下一个clk脉冲上升沿到 来的时候进行清零 即clrn=nand2(QB,QC)

实验7 N进制计数器

实验7 N进制计数器
逢十进一 逢百进一
CP
CP0
Q0 1
Q1 2
Q2 4
Q3 8
CP0
Q0 1
Q1 2
Q2 4
Q3 8
74LS90(I) CP1 S 9A S 9B R 0A R 0B CP1
74LS90(Ⅱ) S 9A S 9B R 0A R 0B
8421BCD
3.任意进制计数器的构成(用反馈清零法)
利用两片74LS90,通过适当的反馈线接入清零端,构 成任意进制的计数器。如:24进制,60进制等。 注意:①R端要接Q端送来的清零信号,所以不要接地。
1
1 1 1
1 0 1 1
15
16
0
1
1 1 1 1
四、实验面板:
四、实验内容与步骤: 1.异步十进制加法计数器
+5V
3
V+
将 1 片 74LS90 的 VCC 接 +5V,CP1 与Q0 连接,将R0A、 R0B任一端接地,S9A、S9B同时 接地,构成异步十进制计数 器 , 由CP0输入脉冲 , 利 用 实验箱的译码电路及数码管, 观查并记录输出状态。并画 出连线图。
1.画出实验电路,作出十进计数器状态转换表。 2.两位十进制计数、译码、显示电路接线图。 3. 思考排除故障的方法,并写出实验体会。
1.2-5-10计数器74LS90
74LS90计数器,如果输入端R0A和R0B皆为高电平时,计数器 清“0”;S9A和S9B皆为高电平时,计数器置“9”。计数时R0A 和R0B其中之一或者两者同时接低电平,并要求S9A和S9B其中 之一或者同时接低电平。当时钟脉冲接CP0 ,CP1端与Q0端 相连构成8421码异步十进制计数器
0 0 0 0 0 0 0 1 0 1 0 1 1 0 1 0 1 0 1 1 1 1 1 1 0 0 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0 1 0 0

[精品]N进制计数器的设计

[精品]N进制计数器的设计
实验五
N进制计数器的设计
一、ห้องสมุดไป่ตู้验目的:
• • • • 掌握中规模集成计数器的功能和使用方法。 学习用预置数法构成N进制计数器的方法。 学习BCD译码器和共阴极七段显示器的使用方法。 学习中规模集成数字电路的组装、测试方法。
二、实验元器件:
集成计数器74LS161 1片
共阴七段显示器 集成电路74LS00 1片 1片

表示状态锁定在LE=0时,D-A的状态
四、注意事项: 1、闲置的输入端不能悬空。 2、用示波器观察多个波形时,注意选用频率 最低的电压作触发电压。
实验六
集成计数、译码及显示电路
N进制计数器的设计
实验目的:… …同前面 实验原理:实验教材 Page 106~ 114 实验内容:( 包含题目、电路图、实测数据、记录波形 ) (1)测试74LS161逻辑功能表:表16-4 ; (2) 按图组装电路,用CP=1Hz正方波观察计数、译码显示
集成译码器CC4511 1片
电 阻 510Ω 1只
三、实验内容:
1、测试74LS161逻辑功能。CP选用1HZ正方波
11 12
7 10 1 ETP Q3 Q2
13
14
CO LD 15 9
Q 1 Q0
ETT
CR 2
74161
D 3 D2 D1 D 0 6 5 4 3
74LS161功能表 CP CR LD ETT ETP 0 × × × × 1
D 6 C 2 B 1 7 A
BL
LE
Q3
Q2
Q1
Q0
译码显示电路
3、将1HZ方波改为1KHZ方波,测绘十进制计数 器Q3Q2Q1Q0的输出波形以及CP的波形,比较它 们的时序关系。

进制同步计数器设计

进制同步计数器设计

实验名称:100进制同步计数器设计专业班级:姓名:学号:实验日期:一、实验目的:1、掌握计数器的原理及设计方法;2、设计一个0~100的计数器;3、利用实验二的七段数码管电路进行显示;二、实验要求:1、用VHDL 语言进行描写;2、有计数显示输出;3、有清零端和计数使能端;三、实验结果:1. VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;PACKAGE my_pkg ISComponent nd2 -- 或门PORT (a,b: IN STD_LOGIC;c: OUT STD_LOGIC);END Component;Component led_decoderPORT (din:in std_logic_vector(3 downto 0 ); --四位二进制码输入seg:out std_logic_vector(6 downto 0) ); --输出LED七段码END Component;Component CNT60 --2位BCD码60进制计数器PORT( CR:IN STD_LOGIC;EN:IN STD_LOGIC;CLK:IN STD_LOGIC;OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) );END Component;Component CNT100 --带使能和清零信号的100进制计数器PORT(CLK:IN STD_LOGIC;EN:IN STD_LOGIC;CLR:IN STD_LOGIC;OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END Component;Component freq_div --50MHZ时钟分频出1HzPORT(clkinput : IN STD_LOGIC;output : OUT STD_LOGIC);END Component;Component jtd --交通灯控制器PORT(CLKIN:IN STD_LOGIC; --50MHZR1,G1,R2,G2,R3,G3,R4,G4:OUT STD_LOGIC; --红绿灯信号输出GAO,DI:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) --倒计时输出);END Component;END my_pkg;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE work.my_pkg.ALL; --打开程序包ENTITY Demo3 ISPORT (CRl:IN STD_LOGIC;ENl:IN STD_LOGIC;CLKIN: IN STD_LOGIC;LEDLOW,LEDHIGH: OUT STD_LOGIC_VECTOR(6 downto 0));END Demo3;ARCHITECTURE behv OF Demo3 ISSIGNAL CLKTEMP: STD_LOGIC; --定义中转信号SIGNAL LEDLOWTEMP,LEDHIGHTEMP:STD_LOGIC_VECTOR(3 downto 0);BEGINu1:freq_div PORT MAP(CLKIN,CLKTEMP); --位置关联方式u2:CNT60 PORTMAP(CR=>CRl,EN=>ENl,CLK=>CLKTEMP,OUTLOW=>LEDLOWTEMP,OUTHIGH=>LEDHIGHTE MP); --名字关联方式u3:led_decoder PORT MAP(LEDLOWTEMP,LEDLOW); --低位数码管输出u4:led_decoder PORT MAP(LEDHIGHTEMP,LEDHIGH); --高位数码管输出END behv;LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY freq_div ISPORT(clkinput : IN STD_LOGIC;output : OUT STD_LOGIC);END freq_div;ARCHITECTURE rt OF freq_div ISSIGNAL count_signal : INTEGER RANGE 0 TO 25000000;signal mid1 : STD_LOGIC ;BEGINPROCESS (clkinput)BEGINIF (clkinput'EVENT AND clkinput = '1') THENif count_signal=24999999 then --50MHz division to 1Hzcount_signal <= 0;mid1<= not mid1;elsecount_signal <= count_signal + 1;end if;output <= mid1;end if;END PROCESS;end rt;--文件名:decoder.vhdlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity led_decoder isPort (din:in std_logic_vector(3 downto 0 ); --四位二进制码输入seg:out std_logic_vector(6 downto 0) ); --输出LED七段码end led_decoder;architecture Behavioral of led_decoder isbeginprocess(din)begincase din iswhen "0000" =>seg<="1000000";--0when "0001" => seg<="1111001";--1when "0010" => seg<="0100100";--2when "0011" => seg<="0110000";--3when "0100" => seg<="0011001";--4when "0101" => seg<="0010010";--5when "0110" => seg<="0000010";--6when "0111" => seg<="1011000";--7when "1000" => seg<="0000000";--8when "1001" => seg<="0010000";--9when others => seg<="0000110";--Eend case;end process;end Behavioral;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT60 ISPORT(CR:IN STD_LOGIC;EN:IN STD_LOGIC;CLK:IN STD_LOGIC;OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) );END CNT60;ARCHITECTURE behav OF CNT60 ISBEGINPROCESS(CLK,CR,EN)BEGINif CR='1' thenOUTHIGH<="0000";OUTLOW<="0000";elsif EN ='1'thenIF CLK'EVENT AND CLK='1' THENIF OUTHIGH="1001" AND OUTLOW="1001" THENOUTHIGH<="0000";OUTLOW<="0000";ELSIF OUTLOW="1001" THENOUTHIGH<=OUTHIGH+1;OUTLOW<="0000";ELSE OUTLOW<=OUTLOW+1;END IF;END IF;END IF;END PROCESS;END behav;2.仿真结果以及说明①. 分频器仿真结果:经过多次仿真,发现按照实验要求分频出1HZ的频率是无法仿真出需要的结果的,因为时钟脉冲太多,QuartusII显示不了。

基于Multisim的大容量N进制计数器的仿真设计

基于Multisim的大容量N进制计数器的仿真设计

基于Multisim的大容量N进制计数器的仿真设计王长江【摘要】基于集成同步十进制可逆计数器74LS192,利用Multisim软件实现大容量N进制计数器的设计与仿真,仿真结果清晰地表明设计的计数器能够实现所要求的N进制计数功能.【期刊名称】《四川职业技术学院学报》【年(卷),期】2018(028)004【总页数】4页(P155-158)【关键词】集成计数器74LS192;N进制计数器;Multisim仿真设计【作者】王长江【作者单位】四川职业技术学院电子电气工程系,四川遂宁 629000【正文语种】中文【中图分类】TN0-40 引言计数器不仅可以用来计数,而且还可以用来实现定时、分频、测量和控制等功能,例如,计算机的时序发生器、分频器、指令计数器等。

在集成计数器中,常用的二进制和十进制计数器的进制一般都是固定的,如74LS190为10进制,74LS193为16进制。

在实际中应用中,如数字钟电路中,却需要24进制和60进制计数器,因此,这就要利用现有的固定进制计数器来设计需要的N进制计数器。

Multisim是美国国家仪器(National Instruments,NI)公司推出的一款电路仿真分析与设计软件,该软件能够激发电子设计爱好者的设计灵感,广泛用于电子技术教学、设计和科研中。

下面以集成同步十进制可逆计数器74LS192为基础,基于Multisim软件实现大容量N进制计数器的设计与仿真。

1 74LS192逻辑功能74LS192是双时钟输入的同步十进制可逆计数器,其逻辑符号如图1所示。

图中,UP为加计数时钟输入端,DOWN为减计数时钟输入端,CLR为清零端(高电平有效),~LOAD为预置数端(低电平有效),~CO为进位输出端(1001状态后负脉冲输出),~BO为借位输出端(0000状态后负脉冲输出),A~D为并行数据输入端,QA~QD为计数器状态输出端(计数状态为0000~1001)。

74LS192的逻辑功能如图2所示,表明它具有如下主要的逻辑功能:(1)异步清零。

n进制计数器课程设计

n进制计数器课程设计

n进制计数器课程设计一、课程目标知识目标:1. 学生能理解n进制计数系统的基本原理,掌握二进制、八进制、十六进制等常见进制的基本概念和转换方法。

2. 学生能够运用所学的进制知识,进行不同进制之间的数值转换,包括但不限于十进制与二进制、八进制、十六进制之间的转换。

3. 学生能够理解计数器的工作原理,并学会设计简单的n进制计数器。

技能目标:1. 学生通过实际操作,提高逻辑思维和问题解决能力,培养计算与转换技巧。

2. 学生能够在小组合作中发挥个人优势,有效沟通,协同完成计数器的设计与搭建。

3. 学生能够运用信息技术工具,例如计算器和编程软件,辅助进制转换和计数器设计的学习。

情感态度价值观目标:1. 学生培养对数学和计算机科学的兴趣,增强对进制计数器实用性的认识,激发探索科学和技术奥秘的欲望。

2. 学生通过学习小组的活动,发展团队协作精神,尊重他人意见,学会在集体中共同成长。

3. 学生在探索进制计数器的过程中,培养耐心和细致的学术态度,认识到科学学习的严谨性和连续性。

二、教学内容本课程以《信息技术》教材中“进制与计数器”章节为基础,结合以下内容进行教学:1. 进制概念:讲解二进制、八进制、十六进制的基本定义,以及它们与十进制的联系。

- 教材章节:第一章第二节“进制的概念及其转换”2. 进制转换方法:详细解析不同进制之间的转换方法,通过例题和练习巩固知识。

- 教材章节:第一章第三节“进制之间的转换方法”3. 计数器原理:介绍计数器的工作原理,结合实物图解,帮助学生形象理解。

- 教材章节:第二章第一节“计数器的工作原理”4. n进制计数器设计:指导学生运用所学进制知识,设计简单的n进制计数器。

- 教材章节:第二章第二节“n进制计数器的设计与实现”5. 实践活动:安排小组合作,进行进制转换和计数器设计的实际操作。

- 教材章节:实践活动“进制转换与计数器设计”教学进度安排:1. 进制概念及转换方法(2课时)2. 计数器原理及n进制计数器设计(2课时)3. 实践活动及总结评价(2课时)三、教学方法本课程采用以下多元化的教学方法,以促进学生主动学习和兴趣激发:1. 讲授法:通过系统的讲解,使学生掌握进制计数的基本原理和转换方法。

进制同步计数器设计报告

进制同步计数器设计报告

浙江万里学院实验报告实验名称: 100进制同步计数器设计专业班级:电子103姓名:徐强学号: 2018014092 实验日期:2018.5.10一、实验目的:1、掌握计数器的原理及设计方法;2、设计一个0~100的计数器;3、利用实验二的七段数码管电路进行显示;二、实验要求:1、用VHDL 语言进行描写;2、有计数显示输出;3、有清零端和计数使能端;三、实验结果:1. VHDL程序LIBRARY IEEE。

USE IEEE.STD_LOGIC_1164.ALL。

PACKAGE my_pkg ISComponent nd2 -- 或门PORT (a,b: IN STD_LOGIC。

c: OUT STD_LOGIC>。

END Component。

Component led_decoderPORT (din:in std_logic_vector(3 downto 0 >。

--四位二进制码输入 seg:out std_logic_vector(6 downto 0> >。

--输出LED七段码END Component。

Component CNT60 --2位BCD码60进制计数器PORT( CR:IN STD_LOGIC。

EN:IN STD_LOGIC。

CLK:IN STD_LOGIC。

OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0>。

OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0> >。

END Component。

Component CNT100 --带使能和清零信号的100进制计数器PORT(CLK:IN STD_LOGIC。

EN:IN STD_LOGIC。

CLR:IN STD_LOGIC。

OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0>。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录摘要 (1)关键词 (1)1引言 (2)2同步时序逻辑电路的设计方法 (2)2.1同步时序逻辑电路的概述 (2)2.2同步时序逻辑电路的一般设计方法 (3)3同步N进制计数器的设计 (4)3.1同步二进制加法计数器的设计 (5)3.2 带进位输出端的十三进制计数器的设计 (8)3.2.1具体电路实现 (8)3.2.2 电路自启动检查 (12)4仿真的实现.........................................................................................................................1 3 4.1仿真的原理. (13)4.2仿真与结果分析 (14)5结论···································································································································1 5 6心得体会······························································································································1 5 附:参考文献·························································································································1 6同步N进制计数器的设计与仿真摘要:本课程设计首先从一般方法入手,介绍了同步时序电路设计的方法过程,然后将此方法应用于同步二进制电路的设计,再在同步二进制计数器的基础上进行分析给出十三进制电路状态方程、卡诺图,得到带进位输出端得十三进制计数器的设计,最后用MUX+plus2对所得电路进行仿真,验证设计,并对电路延时等性能进行分析。

关键词:同步时序逻辑电路,同步二进制计数器,带进位输出端得十三进制计数器,MUX+plus2Synchronous N into system design and simulation of the counter Abstract:Our course is designed from the general method of first, which introduced the synchronization method of sequential circuits design process, and then the method is used in synchronous binary circuit design, and on the basis of synchronous binary counter analysis given ten ternary circuit state equation, cano figure, to get a carry the output to ten ternary counter design, final with MUX + plus2 of the circuit, and simulation test design, and the circuit such as delay performance analysis.Keywords:synchronous sequential logic circuit, synchronous binary counters, binary counter thirteen with carry out , MUX+plus21 引言计数器是用来累计时钟脉冲个数的时序逻辑部件,在数字电路中,我们把记忆输入CP脉冲个数的操作叫做计数,把能实现计数状态的电子电路称为计数器。

计数器也是数字系统中用途最广泛的基本部件之一,几乎在各种数字系统中都有计数器。

它不仅可以计数,还可以对CP脉冲分频,以及构成时间分配器或时序发生器,对数字系统进行定时、程序控制操作。

此外,还能用它执行数字运算,是数字电路中使用最多的一种时序逻辑电路。

计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时,产生节拍脉冲和脉冲序列以及进行数字运算等。

计数器的种类很多,从不同的角度出发,有不同的分类方法:按照计数进位制的不同,可分为二进制计数器、十进制计数器和N进制计数器;按照计数器中的触发器是否同时动作分类,可把计数器分为同步计数器和异步计数器;按照计数器中所表示的数字的变化规律是递增还是递减来分,有加法计数器、减法计数器和可逆计数器(递增计数的称为加法计数器,递减计数的称为减法计数器,既可递增又可递减的称为可逆计数器)。

目前市场上所具有的计数器大多是二进制或是十进制计数器,而较少使用十三进制的计数器,除非是在专用的集成电路中,因此设计性能好、速度快的十三进制计数器是有一定市场前景的。

目前主要采用两种设计方法来进行计数器的设计,第一种为采用传统的硬件电路设计方法来设计硬件,第二种为采用HDL语言来设计系统硬件。

电子设计自动化的普及与CPLD/FPGA器件的广泛应用,使得计数器的设计变得非常容易。

其中可编程计数器使用方便,灵活,能满足工程上的多种应用。

计数器是时序电路但也有其特点:第一,计数器一般将触发器的输出直接构成电路的输出,在分析电路时往往只有状态方程而没有输出方程。

第二,计数器电路直接将时钟信号作为输入信号。

除了时钟信号以外,大部分电路没有其他输入。

尽管计数器有上述特点,对他们的分析方法还是与其他时序电路一样,只是状态图和状态表略有不同。

本文中先对同步时序电路的一般方法进行介绍,然后根据一般方法设计带进位输出端的十三进制计数器电路,最后用MUX+plus2对电路进行仿真验证并分析其性能。

相关文档
最新文档