数字逻辑课后答案 第五章

合集下载

数字逻辑与数字系统四五六章答案

数字逻辑与数字系统四五六章答案

第四章习题答案1.设计4个寄存器堆。

解:寄存器组2. 设计具有4个寄存器的队列。

解:输入数据输出数据3.设计具有4个寄存器的堆栈解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶SR 1SR 2SR 3输入数据输出数据压入弹出4.SRAM 、DRAM 的区别解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。

数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。

电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。

DRAM 拥有更高的密度,常常用于PC 中的主存储器。

SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。

SRAM 常常用于高速缓冲存储器,因为它有更高的速率;5. 为什么DRAM 采用行选通和列选通解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。

如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。

由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。

每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。

6. 用ROM 实现二进制码到余3码转换 解: 真值表如下:8421码余三码 B 3B 2BG 3G 2G1B 01G 00 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 010 0 1 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 10 0最小项表达式为:G 3=∑)9,8,7,6,5( G 2=∑)9,4,3,2,1( G 1=∑)8,7,4,3,0( G 0=∑)8,6,4,2,0( 阵列图为:G 3G 2G 1GB 3B 2B 1B B 07. 用ROM 实现8位二进制码到8421码转换解:输入为8位二进制数,输出为3位BCD 码,12位二进制数,所以,所需ROM 的容量为:28*12=30728.ROM、EPROM和EEPROM的区别解:ROM 指的是“只读存储器”,即Read-Only Memory。

数电第五版(阎石)第五章课后习题及答案pptx

数电第五版(阎石)第五章课后习题及答案pptx

03
习题三答案ຫໍສະໝຸດ 习题三第1题答案1.1 逻辑函数的表示方法 1.1答案:逻辑函数有多种表示方法, 如真值表、逻辑表达式、波形图和卡
诺图等。
1.2 逻辑函数的化简方法
1.2答案:逻辑函数的化简方法包括代 数法、公式法和卡诺图法等。
1.3 逻辑函数的运算规则
1.3答案:逻辑函数的运算规则包括与、 或、非等基本运算,以及与或、与非、 或非等复合运算。
习题一第3题答案
总结词
卡诺图化简
答案
通过卡诺图化简,我们得到最简的逻 辑表达式为(F = A'B + A'C + BC)。
02
习题二答案
习题二第1题答案
总结词
逻辑函数的表示方法
详细描述
逻辑函数的表示方法有真值表、逻辑表达式、逻辑图和波形图等。这些表示方法各有特 点,可以根据具体需求选择使用。真值表可以清晰地表示输入和输出之间的逻辑关系; 逻辑表达式简化了函数表示,便于分析和计算;逻辑图能够直观地展示逻辑函数的结构
习题三第2题答案
在此添加您的文本17字
2.1 逻辑函数的化简步骤
在此添加您的文本16字
2.1答案:逻辑函数的化简步骤包括合并项、消去项和简 化表达式等。
在此添加您的文本16字
2.2 逻辑函数的化简技巧
在此添加您的文本16字
2.2答案:逻辑函数的化简技巧包括利用运算规则、消去 项和合并项等。
在此添加您的文本16字
和功能;波形图则可以反映函数在时间序列上的动态变化。
习题二第2题答案
总结词
逻辑函数的化简方法
详细描述
逻辑函数的化简方法有多种,包括公式化简法、卡诺 图化简法和布尔代数化简法等。公式化简法基于逻辑 代数的基本公式和规则,通过简化表达式得到最简结 果;卡诺图化简法利用卡诺图的性质,通过图形直观 地找出最小项的组合,从而得到最简逻辑函数表达式 ;布尔代数化简法则通过代数运算简化逻辑函数。这 些化简方法各有优缺点,应根据具体情况选择使用。

数字逻辑第5章习题参考解答

数字逻辑第5章习题参考解答

5.31BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。

”写出真值表并找出BUT门输出的最小“积之和”表达式。

画出用“与非-与非”电路实现该表达式的逻辑图,假设只有未取反的输入可用。

你可以从74x00、04、10、20、30组件中选用门电路。

解:真值表如下利用卡诺图进行化简,可以得到最小积之和表达式为Y1=A1·B1·A2’+A1·B1·B2’Y2=A1’·A2·B2+B1’·A2·B2Y2采用74x04得到各反相器采用74x10得到3输入与非采用74x00得到2输入与非5.32做出练习题5.31定义的BUT门的门级设计,要求以cmos实现时使用的晶体管数目最少,可以从74x00、04、10、20、30组件中选用门电路.写出输出表达式(不一定是二级“积之和”)并画出逻辑图。

解:cmos晶体管用量:反相器2个2输入与非门4个3输入与非门6个为了尽量减少晶体管用量,可以采用下列表达式,以便实现器件的重复使用:F1=(A1·B1)·(A2’+B2’)=(A1·B1)·(A2·B2)’=[(A1·B1)’+(A2·B2)’’]’F2=[(A2·B2)’+(A1·B1)’’]’电路图:晶体管用量:20只(原设计中晶体管用量为40只)5.34已知函数,,,(3,7,11,12,13,14)W X Y Z F =∑,说明如何利用练习题5.31定义的单个BUT 门和单个二输入或门实现F.解:BUT 门输出采用最小项和的形式表达为()∑=2,2,1,114,13,121B A B A Y ,()∑=2,2,1,111,7,32B A B A Y将两个输出相或就可以得到要求实现的函数。

5.19指出用一块或多块74x138或74x139二进制译码器以及与非门,如何构建下面每个单输出或多输出的逻辑功能(提示:每个实现等效于一个最小项之和)。

《数字逻辑》鲍家元、毛文林高等教育出版社课后答案【khdaw_lxywyl】

《数字逻辑》鲍家元、毛文林高等教育出版社课后答案【khdaw_lxywyl】

kh da w. co m
答 案 网
课后答案网
2.21 直接根据逻辑表达式,填写卡诺图并化简下列各式为最简 “与或”表达式。 ⑴ F = B+AC ⑵F=D
2.26 如果输入只有原变量而无反变量。用禁止法将下列函数转换 成可用最少的与非门实现,并画出逻辑图。 ⑴ F = AC BC AB BC (逻辑图略) ⑵ F = AABC•BABC ⑶ F = C AB B AB (逻辑图略) ⑷ F = XY Z (逻辑图略) 2.29 确定习图2-1中的输入变量,并使输出功能为: F (A,B,C,D) = ∑m(6,7,12,13 ) 解: F (A,B,C,D) = (AB) ⊕(BC)
(5) F = (B+C+D) (B+C+D) (A+C+D)
ww
⑹ F = D+BC+ABC = (B+C+D) (B+C+D) (A+C+D)
w.
⑸ F = AC+BD = (A+C) (B+C)

= (A+D) (B+C) (B+D)

(6) F = (B+D) (B+C) (A+C+D) (A+C+D) ⑶ F = ABC+ABD+ACD (7) F = CE = (A+C) (C+D) (B+D) (A+B+C) (8) F = (A+D) (B+D) (A+B+C) (B+C+E) (A+C+E) ⑷ F = AB+CD = (C+D) (B+C) (A+C) 或

数字逻辑与数字系统智慧树知到课后章节答案2023年下天津大学

数字逻辑与数字系统智慧树知到课后章节答案2023年下天津大学

数字逻辑与数字系统智慧树知到课后章节答案2023年下天津大学天津大学第一章测试1.十进制数(119)10转换为八进制数是答案:1672.十六进制数(1C4)16转换成十进制数是答案:4523.n个变量可以构成()个最大项或最小项答案:4.负二进制数的补码等于答案:反码加15.已知输入A、B和输出Y的波形如图所示,能实现此波形的门电路是()答案:同或门6.补码由原码按位取反加1答案:错7.增加位宽的方法有零扩展和符号扩展两种答案:对8.相同功能的逻辑门中扇入数越多,逻辑门越复杂答案:对9.两输入的逻辑门包括答案:或门;异或门;与门10.关于二进制的相关说法正确的是答案:零扩展的负数会变化;用补码实现原码的减法;原码不能计算负数加法第二章测试1.下列逻辑等式中不成立的是答案:2.布尔代数的与或非,运算优先级是 ( )答案:非>与>或3.关于无关项X,说法错误的是()答案:所有无关项X一定出现在最简表达式的圈中4.布尔代数就是二值数学运算()答案:错5.组合逻辑电路是无记忆的 ( )答案:对6.卡诺图的编码采用格雷码 ( )答案:对7.译码器具有N个输入和2N个输出,且输出具有独热性( )答案:对8.关于组合逻辑电路说法正确的是()答案:组合逻辑电路的输出仅仅取决于当前输入;大的组合逻辑电路可以由小的组合逻辑电路构成;组合逻辑电路是无记忆的;组合逻辑电路不包含回路9.下列表达式成立的是()答案:AB = BA;B(B+C) = B;A+B=B+A10.卡诺图的画圈原则中,说法正确的是( )答案:质主蕴含项一定出现在最简解中;最简表达式一定含有最少的圆圈数目;质主蕴含项一定是能圈的最大圈;最简表达式中有时都是非质主蕴含项第三章测试1.64位行波进位加法器的延迟为()。

假设全加器的延迟是450ps。

答案:28.8ns2.在SystemVerilog模块中定义一个端口必须指明哪些要素()。

答案:方向;名字3.logic类型的变量会被综合为()电路。

大学_数字逻辑第四版(欧阳星明著)课后习题答案下载

大学_数字逻辑第四版(欧阳星明著)课后习题答案下载

数字逻辑第四版(欧阳星明著)课后习题答案下载数字逻辑第四版(欧阳星明著)课后答案下载第1章基础概念11.1概述11.2基础知识21.2.1脉冲信号21.2.2半导体的导电特性41.2.3二极管开关特性81.2.4三极管开关特性101.2.5三极管3种连接方法131.3逻辑门电路141.3.1DTL门电路151.3.2TTL门电路161.3.3CML门电路181.4逻辑代数与基本逻辑运算201.4.1析取联结词与正“或”门电路201.4.2合取联结词与正“与”门电路211.4.3否定联结词与“非”门电路221.4.4复合逻辑门电路221.4.5双条件联结词与“同或”电路241.4.6不可兼或联结词与“异或”电路241.5触发器基本概念与分类251.5.1触发器与时钟271.5.2基本RS触发器271.5.3可控RS触发器291.5.4主从式JK触发器311.5.5D型触发器341.5.6T型触发器37习题38第2章数字编码与逻辑代数392.1数字系统中的编码表示392.1.1原码、补码、反码412.1.2原码、反码、补码的运算举例472.1.3基于计算性质的几种常用二-十进制编码48 2.1.4基于传输性质的几种可靠性编码512.2逻辑代数基础与逻辑函数化简572.2.1逻辑代数的基本定理和规则572.2.2逻辑函数及逻辑函数的表示方式592.2.3逻辑函数的标准形式622.2.4利用基本定理简化逻辑函数662.2.5利用卡诺图简化逻辑函数68习题74第3章数字系统基本概念763.1数字系统模型概述763.1.1组合逻辑模型773.1.2时序逻辑模型773.2组合逻辑模型结构的数字系统分析与设计81 3.2.1组合逻辑功能部件分析813.2.2组合逻辑功能部件设计853.3时序逻辑模型下的数字系统分析与设计923.3.1同步与异步933.3.2同步数字系统功能部件分析943.3.3同步数字系统功能部件设计993.3.4异步数字系统分析与设计1143.4基于中规模集成电路(MSI)的数字系统设计1263.4.1中规模集成电路设计方法1263.4.2中规模集成电路设计举例127习题138第4章可编程逻辑器件1424.1可编程逻辑器件(PLD)演变1424.1.1可编程逻辑器件(PLD)1444.1.2可编程只读存储器(PROM)1464.1.3现场可编程逻辑阵列(FPLA)1484.1.4可编程阵列逻辑(PAL)1494.1.5通用阵列逻辑(GAL)1524.2可编程器件设计1604.2.1可编程器件开发工具演变1604.2.2可编程器件设计过程与举例1604.3两种常用的HDPLD可编程逻辑器件164 4.3.1按集成度分类的可编程逻辑器件164 4.3.2CPLD可编程器件1654.3.3FPGA可编程器件169习题173第5章VHDL基础1755.1VHDL简介1755.2VHDL程序结构1765.2.1实体1765.2.2结构体1805.2.3程序包1835.2.4库1845.2.5配置1865.2.6VHDL子程序1875.3VHDL中结构体的描述方式190 5.3.1结构体的行为描述方式190 5.3.2结构体的数据流描述方式192 5.3.3结构体的结构描述方式192 5.4VHDL要素1955.4.1VHDL文字规则1955.4.2VHDL中的数据对象1965.4.3VHDL中的数据类型1975.4.4VHDL的运算操作符2015.4.5VHDL的预定义属性2035.5VHDL的顺序描述语句2055.5.1wait等待语句2055.5.2赋值语句2065.5.3转向控制语句2075.5.4空语句2125.6VHDL的并行描述语句2125.6.1并行信号赋值语句2125.6.2块语句2175.6.3进程语句2175.6.4生成语句2195.6.5元件例化语句2215.6.6时间延迟语句222习题223第6章数字系统功能模块设计2556.1数字系统功能模块2256.1.1功能模块概念2256.1.2功能模块外特性及设计过程2266.2基于组合逻辑模型下的VHDL设计226 6.2.1基本逻辑门电路设计2266.2.2比较器设计2296.2.3代码转换器设计2316.2.4多路选择器与多路分配器设计2326.2.5运算类功能部件设计2336.2.6译码器设计2376.2.7总线隔离器设计2386.3基于时序逻辑模型下的VHDL设计2406.3.1寄存器设计2406.3.2计数器设计2426.3.3并/串转换器设计2456.3.4串/并转换器设计2466.3.5七段数字显示器(LED)原理分析与设计247 6.4复杂数字系统设计举例2506.4.1高速传输通道设计2506.4.2多处理机共享数据保护锁设计257习题265第7章系统集成2667.1系统集成基础知识2667.1.1系统集成概念2667.1.2系统层次结构模式2687.1.3系统集成步骤2697.2系统集成规范2717.2.1基于总线方式的互连结构2717.2.2路由协议2767.2.3系统安全规范与防御2817.2.4时间同步2837.3数字系统的非功能设计2867.3.1数字系统中信号传输竞争与险象2867.3.2故障注入2887.3.3数字系统测试2907.3.4低能耗系统与多时钟技术292习题295数字逻辑第四版(欧阳星明著):内容提要点击此处下载数字逻辑第四版(欧阳星明著)课后答案数字逻辑第四版(欧阳星明著):目录本书从理论基础和实践出发,对数字系统的基础结构和现代设计方法与设计手段进行了深入浅出的论述,并选取作者在实际工程应用中的一些相关实例,来举例解释数字系统的设计方案。

《数字逻辑》第5章习题答案

《数字逻辑》第5章习题答案
(a) 图 A5.11 (b)
S3 01 11 10 C4
S2
S1
S0 C0
74LS283
A3 A2 A1 A0 B3 B2 B1 B0 1
【5-12】解:
1. 输出 F 的表达式为
F C0 AB C0 AB C1 AB C0 AB C1C0 AB
2. 用八选一数据选择器和门电路实现逻辑图如图 A5.12 所示。图中 D0=D3=D4=D7=B;D1=1;D2=0;D5=D6= B
F C1 C0 A F
2 MUX 1 G0 74LS151 7 0 EN 0 1 2 3 4 5 6 7 "1" B
图 A5.12
【5-13】解: 1. 输出函数表达式为
L AB
G AB
Q AB AB
该电路为一位数码比较器。 2. 将一位数码比较器的输出 L、Q、G 接到 74LS85 的串行输入端即可。 【5-14】解: 设合格为“1” ,通过为“1” ;反之为“0” 。根据题意,列真值表见表 A5.14。
0 0 0 1 0 0 0 1 0 1 0 1
化简可得
F ACD BCD ABCD ABCD AB(C D).CD AB
【5-16】解: 由图可知表达式为
Y ACD ABD BC CD
当 B=0 且 C=D=1 时:Y= A A 当 A=D=1 且 C=0 时:Y=B+ B 当 B=1,D=0 或 A=0,B=D=1 时:Y=C+ C 当 A=0,C=1 或 A=C=1,B=0 时:Y=D+ D 【5-17】解: 根据题意,列真值表见表 A5.16。
图 A5.5
P 1 AB ACD

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案

&
&
&
&
X1
X2
X3
设计的脉冲异步时序电路
5-3、解:
X1
X3 x2 >
X3
A/0
<
X1
B/0
X3 X2
D/1
X1 X3
X1
X2
X2
C/0
原始状态图
现态
y A B C D
次态 yn+1
x1
x2
x3
B
A
A
B
C
A
B
A
D
B
A
A
原始状态表
输出
Z 0 0 0 1
5-4、解:(1)写出电路的激励函数和输出函数表达式: Y2=x2+x1y2 y—1+x—1y1; Y1=x2x1+x1y2—+x2—y1;Z=x2—y1 —
x2x1=11 c/-
c/-
c/-
○c /1
○c /1
最简流程表
x2x1=10 b/○b /1 b/-
12 3 45 67 8
CP Q1 Q2 Q3
时间图
5-2、解:表所示为最小化状态表,根据状态分配原则,无“列”相
邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻
中,应给 AD、AC 分配相邻代码。取 A 为逻辑 0,如下卡诺图所示,
状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表如
J3 K3 CP3 010 010 110 010 011 011 111 011
次态
Q1(n+1) Q2(n+1 ) Q3(n+1)

在线网课《数字逻辑(山东联盟-烟台大学)》课后章节测试答案

在线网课《数字逻辑(山东联盟-烟台大学)》课后章节测试答案

绪论单元测试1【多选题】(5分)计算机的五大组成部分是()、()、()、输入设备和输出设备。

A.控制器B.运算器C.硬盘D.存储器2【判断题】(5分)数字逻辑课程是计算机专业的一门学习硬件电路的专业基础课。

A.错B.对3【判断题】(5分)计算机的运算器是能够完成算术和逻辑运算的部件,逻辑运算比如与运算。

A.错B.对第一章测试1【单选题】(10分)与二进制数1101011.011对应的十六进制数为()A.53.3B.73.3C.6B.3D.6B.62【单选题】(10分)与二进制数101.011等值的十进制数是()A.5.175B.5.375C.3.625D.5.6753【单选题】(10分)(17)10对应的二进制数是()A.10011B.101111C.10110D.100014【判断题】(10分)数字电路中用“1”和“0”分别表示两种状态,二者通常无大小之分A.错B.对5【判断题】(10分)格雷码具有任何相邻码只有一位码元不同的特性A.对B.错6【多选题】(20分)以下代码中为无权码的为()A.余三码B.格雷码C.5421BCD码D.8421BCD码7【单选题】(10分)十进制数25用8421BCD码表示为()A.00100101B.11010C.11001D.101018【单选题】(10分)BCD码1001对应的余3BCD码是()A.1011B.1100C.1000D.10109【单选题】(10分)8421BCD码001001010100转换成十进制数为()A.252B.1250C.1124D.254第二章测试1【单选题】(5分)在何种输入情况下,“或非”运算的结果是逻辑0A.任一输入为0,其他输入为1B.全部输入是0C.全部输入是1D.任一输入为12【单选题】(5分)一个两输入端的门电路,当输入为1和0时,输出不是1的门是()A.或门B.异或门C.与非门D.或非门3【多选题】(10分)求一个逻辑函数F的对偶式,可将F中的()。

数字逻辑电路 刘常澍主编 第五章习题答案

数字逻辑电路 刘常澍主编 第五章习题答案

5-30、分析图P5-30所示由两片中规模同步计数器CT74LS161构成的计数分频器的模值。

图中(1)为低位计数器,(2)为高位计数器。

图P5-30解:电路由两个计数器异步级联组成,计数器(1)用同步置数法构成一个十进制计数器,状态循环为0000~1001,即M1=10;计数器(2)用异步复位法构成一个九进制计数器,状态循环为0000~1000,即M2=9;在计数器(1)置入数值0000时,置数脉冲的上升沿供给计数器(2)一个CP脉冲,也就是计数器(1)向计数器(2)的进位。

整个计数器的模值M=M2×M1=9×10=90。

5-31、分析图P5-31所示由两片中规模同步计数器CT74LS161构成的计数分频器的模值,图中(1)为低位计数器,(2)为高位计数器。

图P5-31解:电路由两个CT74LS161计数器同步级联组成,都是十六进制计数器,计数器(1)在状态1111时CO=1,允许CP触发计数器(2)计数,计数器用异步复位法构成,在状态为(C8)16时产生复位信号,该状态不是计数循环状态,则计数循环为0~(C7)16,整个计数器的模值M=(C8)16,对应的十进制数为200,也可以写成M=200。

5-32、分析图P5-32所示由两片中规模同步计数器CT74LS160构成的计数分频器的模值,图中(1)为低位计数器,(2)为高位计数器。

图P5-32解:电路由两个CT74LS160计数器异步级联组成,计数器(1)是十进制计数器,M1=10,在状态1001时CO=1,反相后触发计数器(2)计数,计数器(2)用同步置数法构成,在状态为0101时产生置数信号,该状态应是计数循环状态,置入数值0000,则计数循环为0000~0101,M2=6。

M=M2×M1=6×10=60。

5-33、用同步十进制计数集成芯片CT74LS160采用异步复位法构成模值M为5的计数器,并画出接线图和全状态图。

数字逻辑课程作业答案

数字逻辑课程作业答案

数字逻辑课程作业_A交卷时间:2016-05-04 16:55:11 一、单选题1.(4分)如图x1-275A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第一章收起解析答案D解析第一章补码2.(4分)以下电路中常用于总线应用的有()A. TSL门B.OC门C. 漏极开路门D.CMOS与非门纠错得分:0知识点:第三章收起解析答案A解析第三章其他类型的TTL与非门电路3.(4分)如果异步二进制计数器的触发器为10个,则计数状态有()种A. A:20B. B:200C. C:1000D. D:1024纠错得分:0知识点:第九章收起解析答案D解析第九章计数器4.(4分)用n个触发器构成的计数器,可得到的最大计数模是()A. (A) nB. (B) 2nC. (C) 2nD. (D)2n-1纠错得分:4知识点:第六章收起解析答案C解析第六章触发器电路结构和工作原理5.(4分)如图x1-109A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第四章收起解析答案C解析第四章组合逻辑电路的分析6.(4分)如图x1-229A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第五章收起解析答案D解析第五章译码器7.(4分)如图x1-218A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第十一章收起解析答案C解析第十一章数字系统概述8.(4分)化简如图h-d-1-22A. AB. BC. CD. D纠错得分:0知识点:第三章收起解析答案A解析第三章逻辑代数基础/逻辑函数的化简9.(4分)如图x1-371A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第十一章收起解析答案C解析第十一章数字系统概述10.(4分)如图x1-165A. (A)B. (B)C. (C)D. (D)纠错得分:0知识点:第二章收起解析答案D解析第二章逻辑函数表达式的形式和转换方法11.(4分)如图x1-342A. (A)B. (B)C. (C)D. (D)纠错得分:4知识点:第五章收起解析答案C解析第五章数据选择器12.(4分)数字系统工作的特点是具有___ 。

(2021年整理)数字逻辑第五章

(2021年整理)数字逻辑第五章

(完整)数字逻辑第五章编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)数字逻辑第五章)的内容能够给您的工作和学习带来便利。

同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。

本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)数字逻辑第五章的全部内容。

第五章触发器------—----——-—--—-——-—-——------———--—-———--———————-——-—-—-———-—————-——-—---1 :具有:置0、置1、保持和翻转功能的触发器是( ).A:JK触发器B:SR触发器C:D触发器D:T触发器您选择的答案: 正确答案: A知识点:JK触发器的特性为:J=0, K=0时,Q状态为保持;J=0, K=1时,Q状态为置0;J=1, K=0时,Q状态为置1;J=1, K=1时,Q状态为翻转--—--———----—----—---—---—---—-——--—-——--——--—--------——--—--———-—--—-------2 :对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。

A:0B:1C:Q'D:不确定您选择的答案: 正确答案: A知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’-————---———---————--————--—-——-————--—---—-——-—-—---——--—--------——--—--—-—-3 :有一个或非门构成的SR锁存器,欲使该锁存器保持原态即Q*=Q,则输入信号应为()。

A:S=R=0B:S=R=1C:S=1,R=0D:S=0,R=1您选择的答案:正确答案: A知识点:或非门构成的SR锁存器的特性为:R=1, S=0 Q*=0, Q*'=1,即锁存器被置0(0状态);R=0, S=1 Q*=1, Q*'=0,即锁存器被置 1(1状态);R=S=0, Q*=Q,即锁存器保持原态;R= S=1 Q*=Q*'=0,此为不允许输入。

《数字逻辑》(第二版)习题答案 第五章

《数字逻辑》(第二版)习题答案 第五章

习题五1. 简述时序逻辑电路与组合逻辑电路的主要区别。

解答组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。

组合电路具有如下特征:①由逻辑门电路组成,不包含任何记忆元件;②信号是单向传输的,不存在任何反馈回路。

时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。

时序逻辑电路具有如下特征:○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能;○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关;○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。

2. 作出与表1所示状态表对应的状态图。

表1 状态表现态y2 y1次态y2 ( n+1)y1(n+1) /输出Zx2x1=00 x2x1=01 x2x1=11 x2x1=10ABCD B/0B/0C/0A/0B/0C/1B/0A/1A/1A/0D/0C/0B/0D/1A/0C/0解答根据表1所示状态表可作出对应的状态图如图1所示。

图13. 已知状态图如图2所示,输入序列为x=11010010,设初始状态为A,求状态和输出响应序列。

图 2解答状态响应序列:A A B C B B C B输出响应序列:0 0 0 0 1 0 0 14. 分析图3所示逻辑电路。

假定电路初始状态为“00”,说明该电路逻辑功能 。

图 3 解答○1 根据电路图可写出输出函数和激励函数表达式为xK x,J ,x K ,xy J y xy Z 1111212=====○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示,状态图如图4所示。

表2图4现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Zx=0 x=1 00 01 10 1100/0 00/0 00/0 00/001/1 11/0 11/0 11/1○3 由状态图可知,该电路为“111…”序列检测器。

数字逻辑设计习题参考答案(第5章)

数字逻辑设计习题参考答案(第5章)

第5章锁存器与触发器5—1 图5.1(a)是由与非门构成的基本R-S触发器,试画出在图(b)中所示输入信号的作用下的输出波形。

dRdSQQ图 5.1(a)图 5.1(b)最后一个时刻R、S端同时由0变成1,其状态不确定,假设R先来高电平则Q为高5—2 分析图5.2所示电路,列出特性表,写出特性方程,说明其逻辑功能。

CP D Q n Q n+10 ×0 0 保持0 × 1 11 0 ×0 置数1 1 × 1特性方程为Q n+1=D 为同步(CP高电平)D触发器5—3 由CMOS门构成的电路如图5.3(a)所示,请回答:(1)0=C时该电路属于组合电路还是时序电路?1=C时呢?(2)分别写出输出Q的表达式;(3)已知输入A,B,C的波形如图5.3(b),请画出对应的输出Q的波形。

图5.2Q图5.3(a)ABCQ图5.3(b)答: 1) 0=C 时该电路属于组合电路(输出反馈截止)1时为时序电路。

2)C=0时 B A Q +=C=1时 n n n Q B Q B Q⋅=+=+15—4 已知CP 和D 的波形如图4.4所示,试对应画出习题5—2中电路的输出1Q 以及D 触发器(上升沿触发)的输出2Q 的波形。

(1Q 2Q 的初始状态为“0”5—5 今有两个TTL J-K 触发器,一个是主从触发方式,另一个是下降沿触发,已知两者的输入波形均如图5.5所示,试分别画出两个触发器的输出波形。

初始状态均为“0”。

对于主从JK 触发器,由于在CP 为1的全部时间内主触发器都可以接收输入信号,所以在CP 为1的期间输入信号发生变化后,CP 下降沿到达时从触发器的状态不一定按此刻输入信号的状态来确定,而必须考虑整个CP 为1期间内输入信号的变化过程才能确定触发器DQ QCPJQ Q 主从边沿A B C Q 图5.3(b)D Q Q的状态。

主从JK 触发器在Q 为0时主触发器只能接收置1输入信号,Q 为1时只能接收置0信号。

数字逻辑与数字系统第四版课后答案

数字逻辑与数字系统第四版课后答案

第一章开关理论基础1.将下列十进制数化为二进制数和八进制数十进制二进制八进制49 110001 6153 110101 65127 1111111 177635 1001111011 11737.493 111.1111 7.7479.43 10011001.0110111 231.3342.将下列二进制数转换成十进制数和八进制数二进制十进制八进制1010 10 12111101 61 751011100 92 1340.10011 0.59375 0.46101111 47 5701101 13 153.将下列十进制数转换成8421BCD码1997=0001 1001 1001 011165.312=0110 0101.0011 0001 00103.1416=0011.0001 0100 0001 01100.9475=0.1001 0100 0111 01014.列出真值表,写出X的真值表达式A B C X0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1 X=A BC+A B C+AB C+ABC 5.求下列函数的值当A,B,C为0,1,0时:A B+BC=1(A+B+C)(A+B+C)=1(A B+A C)B=1当A,B,C为1,1,0时:A B+BC=0(A+B+C)(A+B+C)=1(A B+A C)B=1当A,B,C为1,0,1时:A B+BC=0(A+B+C)(A+B+C)=1(A B+A C)B=06.用真值表证明下列恒等式(1) (A⊕B)⊕C=A⊕(B⊕C)A B C (A⊕B)⊕C A⊕(B⊕C)0 0 0 0 00 0 1 1 10 1 0 1 10 1 1 0 01 0 0 1 11 0 1 0 01 1 0 0 01 1 1 1 1所以由真值表得证。

(2)A⊕B⊕C=A⊕B⊕CA B C A⊕B⊕C A⊕B⊕C0 0 0 1 10 0 1 0 00 1 0 0 00 1 1 1 11 0 0 0 01 0 1 1 11 1 0 1 11 1 1 0 07.证明下列等式(1)A+A B=A+B证明:左边= A+A B=A(B+B)+A B=AB+A B+A B=AB+A B+AB+A B=A+B=右边(2)ABC+A B C+AB C=AB+AC证明:左边= ABC+A B C+AB C= ABC+A B C+AB C+ABC=AC(B+B )+AB(C+C ) =AB+AC =右边(3) E D C CD A C B A A )(++++=A+CD+E 证明:左边=E D C CD A C B A A )(++++ =A+CD+A B C +CD E =A+CD+CD E =A+CD+E =右边(4) C B A C B A B A ++=C B C A B A ++ 证明:左边=C B A C B A B A ++=C B A C AB C B A B A +++)( =C B C A B A ++=右边8.用布尔代数化简下列各逻辑函数表达式9.将下列函数展开为最小项表达式 (1) F(A,B,C) =Σ(1,4,5,6,7)(2) F(A,B,C,D) = Σ(4,5,6,7,9,12,14) 10.用卡诺图化简下列各式(1)C AB C B BC A AC F +++=化简得F=C(2)C B A D A B A D C AB CD B A F++++=F=D A B A +(3) F(A,B,C,D)=∑m (0,1,2,5,6,7,8,9,13,14)化简得F=D BC D C A BC A C B D C ++++ (4) F(A,B,C,D)=∑m (0,13,14,15)+∑ϕ(1,2,3,9,10,11)化简得F=AC AD B A ++11.利用与非门实现下列函数,并画出逻辑图。

数字逻辑(欧阳星明)第五章

数字逻辑(欧阳星明)第五章

4.描述电路的逻辑功能。 由状态图可知,该电路是一个2 位二进制数可逆计数器。 当输入x=0 时,可逆计数器进行加1计数,其计数序列为 00 01 10 11
当输入x=1时,可逆计数器进行减1计数,其计数序列为 00 01 10 11 在时序逻辑电路分析中,除了状态图和状态表之外,通常 还用到时间图。时间图能较形象、生动地体现时序电路的工作 过程,并可和实验观察的波形相比较,是描述时序电路工作特 性的一种常用方式。
7
第五章 同步时序逻辑电路
(2)现态与次态 同步时序电路中的现态与次态是针对某个时钟脉冲而言的。 现态----指时钟脉冲作用之前电路所处的状态。 次态----指时钟脉冲作用之后电路到达的状态。 注意:前一个脉冲的次态即后一个脉冲的现态!如 1 2 cp 次态=现态 次态=现态 (3)对时钟的要求 脉冲的宽度:必须保证触发器可靠翻转; 脉冲的频率:必须保证前一个脉冲引起的电路响应完全结 束后,后一个脉冲才能到来。 2. 异步时序逻辑电路 异步时序逻辑电路的存储电路可由触发器或延时元件组成, 电路中没有统一的时钟信号同步,电路输入信号的变化将直接导 致电路状态的变化。 8 3
19
第五章 同步时序逻辑电路
5.2.2分析举例 例1 用表格法分析下图所示同步时序逻辑电路。 解:该电路由两个J-K触 发器和一个异或门组成,电 路的输入为x,电路的状态 (即触发器状态)用y2 、y1 表示。 电路的输出即状态变量,因 此 , 该 电 路 属 于 Moore 型 电 路的特例。
1.写出输出函数和激励函数表达式 该电路的输出即为状态,各触发器的激励函数表达式为 J1=K1=1 ;J2=K2=x⊕y1
24
第五章 同步时序逻辑电路
根据状态响应序列,可 作出时间图如下图所示。由 于前一个时钟脉冲的次态即 为后一个时钟脉冲的现态, 所以,时间图中可以将现态 和次态共用一个波形表示。

北邮数字逻辑课后习题5的VHDL编程题目

北邮数字逻辑课后习题5的VHDL编程题目

习题513、3线-8线译码器library ieee;use ieee.std_logic_1164.all;entity decoder_74LS138 isport(G1,G2A,G2B,d0,d1,d2:in std_logic;y:out std_logic_vector(7 downto 0)); end decoder_74LS138;architecture rtl of decoder_74LS138 issignal tmp:std_logic_vector(2 downto 0); begintmp<=d2&d1&d0;process(G1,G2A,G2B,tmp)beginif(G1='1' and G2A='0' and G2B='0') thencase tmp iswhen "000" => y<="11111110";when "001" => y<="11111101";when "010" => y<="11111011";when "011" => y<="11110111";when "100" => y<="11101111";when "101" => y<="11011111";when "110" => y<="10111111";when "111" => y<="01111111";when others=> y<="XXXXXXXX";end case;elsey<="11111111";end if;end process;end rtl;14、七段译码器library ieee;use ieee.std_logic_1164.all;entity decoder_74LS48 isport(d3,d2,d1,d0: in std_logic;y:out std_logic_vector(6 downto 0)); end decoder_74LS48;architecture rtl of decoder_74LS48 issignal comb:std_logic_vector(3 downto 0);begincomb<=d3&d2&d1&d0;process(comb)begincase comb iswhen "0000"=> y<="1111110";when "0001"=> y<="0110000";when "0010"=> y<="1101101";when "0011"=> y<="1111001";when "0100"=> y<="0110011";when "0101"=> y<="1011011";when "0110"=> y<="0011111";when "0111"=> y<="1110000";when "1000"=> y<="1111110";when "1001"=> y<="1110011";when others=> y<="XXXXXXX";end case;end process;end rtl;15、8/3优先级编码器library ieee;use ieee.std_logic_1164.all;entity encoder8_3 isport(st:in std_logic;i:in std_logic_vector(0 to 7);ei,eo:out std_logic;y:out std_logic_vector(2 downto 0));end encoder8_3;architecture rtl of encoder8_3 isbeginprocess(st,i)beginif(st='0') thenif i(7)='0' then y<="000";ei<='0';eo<='1';elsif i(6)='0' then y<="001";ei<='0';eo<='1';elsif i(5)='0' then y<="010";ei<='0';eo<='1';elsif i(4)='0' then y<="011";ei<='0';eo<='1';elsif i(3)='0' then y<="100";ei<='0';eo<='1';elsif i(2)='0' then y<="101";ei<='0';eo<='1';elsif i(1)='0' then y<="110";ei<='0';eo<='1';elsif i(0)='0' then y<="111";ei<='0';eo<='1';else y<="111";ei<='1';eo<='0';end if;else y<="111";ei<='1';eo<='1';end if;end process;end rtl;17、由D触发器组成的4位寄存器library ieee;use ieee.std_logic_1164.all;entity dff isport(d:in std_logic;clk:in std_logic;q:out std_logic);end dff;architecture rtl of dff isbeginprocess(clk)beginif(clk'event and clk='1') thenq<=d;end if;end process;end rtl;library ieee;use ieee.std_logic_1164.all;entity shift_reg isport(d1:in std_logic;cp:in std_logic;d0:out std_logic);end shift_reg;architecture structure of shift_reg iscomponent dffport(d:in std_logic;clk:in std_logic;q:out std_logic);end component;signal q:std_logic_vector(4 downto 0); beginq(0)<=d1;dff1:dff port map(q(0),cp,q(1));dff2:dff port map(q(1),cp,q(2));dff3:dff port map(q(2),cp,q(3));dff4:dff port map(q(3),cp,q(4));d0<=q(4);end structure;20、3位格雷码可逆计数器,Y=1计数器加,Y=0计数器减library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counter isport(clk,k:in std_logic;q:buffer std_logic_vector(2 downto 0));end counter;architecture rtl of counter isbeginprocess(clk,k)beginif(k='1') thencase q iswhen "000" => q<="001";when "001" => q<="011";when "011" => q<="010";when "010" => q<="110";when "110" => q<="111";when "111" => q<="101";when "101" => q<="100";when "100" => q<="000";end case;elsecase q iswhen "000" => q<="100";when "100" => q<="101";when "101" => q<="111";when "111" => q<="110";when "110" => q<="010";when "010" => q<="011";when "011" => q<="001";when "001" => q<="000";end case;end if;end process;end rtl;21、有限状态机library ieee;use ieee.std_logic_1164.all; entity controller isport(clk,k:in std_logic);end controller;architecture rtl of controller is type state_type is(s0,s1,s2,s3); signal state:state_type;beginone_process:process(k,clk) beginif(clk'event and clk='1') thencase state iswhen s0 => if(k='1') thenstate<=s0;elsestate<=s1;end if;when s1 => if(k='1') thenstate<=s2;elsestate<=s1;end if;when s2 => if(k='1') thenstate<=s2;elsestate<=s3;end if;when s3 => if(k='1') thenstate<=s0;elsestate<=s3;end if;end case;end if;end process;end rtl;。

数字逻辑课后第五章

数字逻辑课后第五章

第五章习题答案1. 画出与阵列编程点解:2. 画出或阵列编程点解:3. 与、或阵列均可编程,画出编程点解;A AB BC CX iX2X3X44. 4变量LUT 编程解:5. 用VHDL 写出4输入与门 解: 源代码:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY an d4 ISPORT (a, b , c , d : IN STD_LOGIC ;x : OUT STD_LOGIC );END an d4;ARCHITECTURE an d4_arc OF and4 ISBEGINx v = a AND b AND c AND d ;END an d4_arq6. 用VHDL 写出4输入或门解: 源代码:LIBRARY IEEE ;rrA眞BBc 丄F iF 2F 3A 。

A iA 2 A 3SOP 输出USE IEEE.STD_LOGIC_1164.ALL ;ENTITY or4 ISPORT (a,b,c,d:IN STD_LOGIC ;x:OUTSTD_LOGIC );END or4;ARCHITECTURE or4_arc OF or4 ISBEGINx v = a OR b OR c OR d;END or4_arc;7. 用VHDL 写出SOP 表达式解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY sop ISPORT (a,b,c,d,e,f:IN STD_LOGIC ;x:OUTSTD_LOGIC );END sop;ARCHITECTURE sop_arc OF sop ISBEGINx v = (a AND b) OR (c AND d) OR (e AND f);END sop_arc;8. 用VHDL 写出布尔表达式解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY boolean ISPORT (a,b,c:IN STD_LOGIC ;f:OUT STD_LOGIC );END boolean ;ARCHITECTURE boolean_arc OF boolean ISBEGINf v= (a OR (NOT b) OR c) AND (a OR b OR (NOT c)) AND((NOT a) OR (NOT b) OR (NOT c));END boolean_arc;9. 用VHDL 结构法写出SOP 表达式解:源代码:三输入与非门的逻辑描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY nand3 ISPORT (a,b,c:IN STD_LOGIC ;x:OUT STD_LOGIC );END nand3;ARCHITECTURE nand3_arc OF nand3 ISBEGINx v = NOT (a AND b AND c);END nand3_arc;――顶层结构描述文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY sop ISPORT (in1 ,in2,in3,in4,in5,in6,in7,in8,in9:INSTD_LOGIC; out4:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISCOMPONENT nand3PORT (a,b,c:IN STD_LOGIC ;x:OUT STD_LOGIC );END COMPONENT ;SIGNAL out1,out2,out3:STD_LOGIC;BEGINu1 :nand3PORT MAP (in1 ,in2,in3,out1);u2:nand3PORT MAP (in4 ,in5,in6,out2);u3:nand3PORT MAP (in7 ,in8,in9,out3);u4:nand3PORT MAP (out1 ,out2,out3,out4);END sop;10.用VHDL数据流法写出SOP表达式解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY sop ISPORT (in1 ,in2,in3,in4,in5,in6,in7,in8,in9:INSTD_LOGIC; out4:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISBEGINout4v = (ini AND in2 AND in3) OR (in4 AND in5 AND in6 ) OR (in7 AND in8 AND in9);END sop_arc;13. 用VHDL设计3- 8译码器解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY decoder_3_to_8 ISPORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC;y:OUT STD_LOGIC _VECTOR (7 downto 0));END decoder_3_to_8;ARCHITECTURE rt1 OF decoder_3_to_8 ISSIGNAL indata:STD_LOGIC _VECTOR (2 downto 0);BEGINindata v = c & b & a ;PROCESS(indata,g1,g2a,g2b)BEGINIF (g1=‘ T AND g2a 0' AND g2b D'THENCASE indata ISWHEN "000"=>y v = "11111110";WHEN "001" => y v = "11111101";WHEN "010" => y v = "11111011";WHEN "011"=>y v = "11110111";WHEN "100"=>y v = "11101111";WHEN "101"=>y v = "11011111";WHEN "110"=>y v = "10111111";WHEN others=> y v = "01111111";END CASE;ELSEy v= "11111111;END IF ;END PROCESS;END rt1;14. 用VHDL 设计七段显示译码器解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY segment7 ISPORT (xin :IN STD_LOGIC _VECTOR (3 downto 0); lt ,rbi :INSTD_LOGIC ; yout :OUT STD_LOGIC _VECTOR ( 6downto 0); birbo :INOUT STD_LOGIC );END segment7;ARCHITECTURE seg7448 OF segment7 ISSIGNAL sig_xin : STD_LOGIC _VECTOR (3 downto 0); BEGINsig_xin v = xin ;PROCESS (sig_xin ,lt ,rbi ,birbo )BEGINIF (birbo =' )'THENyout v = "0000000";ELSIF (It =' 0'THENyout v = "1111111";birbo v= ' TELSIF (rbi =' A'ND sig_xin = "0000") THENyout v = "0000000";birbo v=' 0'ELSIF (rbi = ' AND sig_xin = "0000") THENyout v = "1111110";birbo <= ' 1'ELSE15. 用 VHDL 设计 8/3优先编码器 解: 源代码:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY priorityencoder ISbirbo <=CASE WHEN WHEN WHEN WHEN WHEN WHEN WHEN WHEN WHEN WHEN ENDCASE END IF ;END PROCESS ;END seg7448;sig_xin IS0001" = > yout < = "0110000";0010" = > yout < = "1101101";0011"=>yout <="1111001";"0100"=>yout <="0110011";"0101"=>yout <="1011011";"0110"=>yout <="0011111";"0111"=>yout <="1110000";"1000"=>yout <="1111111";"1001"=>yout <="1110011";others =>yout <="0100011";PORT (din:IN STD_LOGIC _VECTOR (7 downto 0);ei:IN STD_LOGIC ;yout:OUT STD_LOGIC _VECTOR (2downto 0);eo,gs:OUT STD_LOGIC );END priorityencoder;ARCHITECTURE cod74148 OF priorityencoder IS BEGINPROCESS(ei,din)BEGINIF (ei=' 1'THENyout < = "111";eo v=,1,gs<= ' 1'ELSEIF (din(7) =' O' THENyout< = "000";eo<= ' 1'gs<= ' O'ELSIF (din(6) =' O' THENyout <= "001";eo<= ' 1'gs<= ' 0'ELSIF (din(5) =' 0' THENyout< = "010";eo<= ' 1'gs<= ' 0'ELSIF (din(4) =' 0' THENyout< = "011";eo<= ' 1'gs<= ' 0'ELSIF (din(3) =' 0' THENyout< = "100";eo<= ' 1'gs<= ' 0'ELSIF (din(2) =' 0' THENyout< = "101";eo<= ' 1'gs<= ' 0'ELSIF (din(1) =' 0' THENyout< = "110";eo<= ' 1'gs v=,;ELSIF (din(0) — O' T)HEN yout v = "111";eo v= ' 1' gs v= ' O'ELSIF (din = "11111111") THENyout v = "111";eo v= ' O' gs v= ' 1'END IFEND IFEND PROCESSEND cod74148;16. 用VHDL设计BCD码至二进制码转换器解:源代码:library ieee;use ieee.std_logic_1164.all;entity bcdtobi isport (bcdcode : IN STD_LOGIC_VECTOR(7 DOWNTO O);start: qbit : in std_logic;OUTSTD_LOGIC_VECTOR(3 DOWNTO O)end;architecture behavioral of bcdtobi isbeginprocess(start)beginif start='0' thencase bcdcode(7 downto 0) iswhen "00000000"=>qbit(3 downto 0)<="0000"; when"00000001"=>qbit(3 downto 0)<="0001"; when"00000010"=>qbit(3 downto 0)<="0010"; when"00000011"=>qbit(3 downto 0)<="0011"; when"00000100"=>qbit(3 downto 0)<="0100"; when"00000101"=>qbit(3 downto 0)<="0101"; when"00000110"=>qbit(3 downto 0)<="0110"; when"00000111"=>qbit(3 downto 0)<="0111"; when"00001000"=>qbit(3 downto 0)<="1000";when "00001001"=>qbit(3 downto 0)<="1001";when "00010000"=>qbit(3 downto 0)<="1010";when "00010001"=>qbit(3 downto 0)<="1011";when "00010010"=>qbit(3 downto 0)<="1100";when "00010011"=>qbit(3 downto 0)<="1101";when "00010100"=>qbit(3 downto 0)<="1110";when "00010101"=>qbit(3 downto 0)<="1111";when others=>qbit(3 downto 0)<="0000"; end case;elseqbit(3 downto 0)<="0000";end if;end process;end behavioral;17.用VHDL设计4位寄存器解:异步复位源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY register_4ISPORT (clk,r:IN STD_LOGIC ;din:IN STD_LOGIC _VECTOR (3 downto 0);qout:OUT STD_LOGIC _VECTOR ( 3 downto 0));ENDregister_4;ARCHITECTURE rge_arc OF register_4 ISSIGNAL q_temp:STD_LOGIC _VECTOR (3 downto 0);BEGINPROCESS(clk,r) BEGINIF (r=' 1 'THENq_temp v = "0000";ELSIF (elk ' eve nt AND elk ― 1' T)HEN q_temp v =din ;END IF ;qout v = q_temp;END PROCESS;END rge_are;18. 用VHDL 设计4位双向移位寄存器解:s1、s0控制工作方式,dsl为左移数据输入,dsr为右移数据输入。

数字逻辑课后答案

数字逻辑课后答案

F = ABC + ABC
= (A + B + C)(A + B + C )
10
1
0
1
1
F的卡诺图 的卡诺图 ABC
湖南理工学院计算机与信息工程系通信教研室 陈进制作
第2章习题 章习题 2.8用卡诺图化简法求出最简与-或表达式和最简或-与表达式。 ⑵ F(A, B, C, D ) = BC + D + D(B + C )⋅ (AD + B) 解: 画出逻辑函数的卡诺图。 先转换成与或表达式
Y2 = B Y2 = A
EN = 1 门2、4打开 Y1 = B
A B EN Y1 Y2
湖南理工学院计算机与信息工程系通信教研室 陈进制作
第3章习题 章习题 3.13 在图3.65(a)所示的D触发器电 路中,若输入端D的波形如图 3.66(b) 所示,试画出输出端Q的波 形(设触发器初态为0)。 解: 触发器初态为0 在CP=1期间, Qn+1=D Q CP D
F = (A + B)(A + C)(C + D )(B + D )
湖南理工学院计算机与信息工程系通信教研室 陈进制作
第2章习题 章习题 2.9用卡诺图判断函数F(A,B,C,D)和G(A,B,C,D) 之间的关系。
F(A, B, C, D ) = BD + A D + CD + ACD G (A, B, C, D ) = BD + CD + ACD + ABD
⊕ ⊕⊕⊕ ⊕
⊕ ⊕⊕⊕ ⊕⊕
⑵ (1100110)2 = 64+32+4+2 = (102)10 = (0001 0000 0010)8421码 (1100110)2 =( 1010101 )格雷码 ?

数字逻辑(第二版)毛法尧课后题答案(1-6章)

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一1.1 把下列不同进制数写成按权展开式:⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-31.2 完成下列二进制表达式的运算:1.3 将下列二进制数转换成十进制数、八进制数和十六进制数:⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)101.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位:⑴(29)10=(1D)16=(11101)2=(35)8⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)81.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除?解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除.1.6 写出下列各数的原码、反码和补码:⑴0.1011[0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011⑵0.0000[0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000⑶-10110[-10110]原=110110; [-10110]反=101001; [-10110]补=1010101.7 已知[N]补=1.0110,求[N]原,[N]反和N.解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.10101.8 用原码、反码和补码完成如下运算:⑴0000101-0011010[0000101-0011010]原=10010101;∴0000101-0011010=-0010101。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第五章 习题答案1. 画出与阵列编程点解:2. 画出或阵列编程点解:3. 与、或阵列均可编程,画出编程点。

解;-------X 1X 2X 3X 44. 4变量LUT 编程解:5. 用VHDL 写出4输入与门解: 源代码:LIBRARY IEEE ;USE IEEE .STD_LOGIC_1164.ALL ;ENTITY and4 ISPORT (a ,b ,c ,d :IN STD_LOGIC ;x :OUT STD_LOGIC );END and4;ARCHITECTURE and4_arc OF and4 ISBEGINx <=a AND b AND c AND d ;END and4_arc ;6. 用VHDL 写出4输入或门解: 源代码:LIBRARY IEEE ;1A-BB -F 32A 0A 1A 2A 3SOP 输出USE IEEE.STD_LOGIC_1164.ALL;ENTITY or4 ISPORT (a,b,c,d:IN STD_LOGIC;x:OUT STD_LOGIC);END or4;ARCHITECTURE or4_arc OF or4 ISBEGINx<=a OR b OR c OR d;END or4_arc;7.用VHDL写出SOP表达式解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sop ISPORT (a,b,c,d,e,f:IN STD_LOGIC;x:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISBEGINx<=(a AND b) OR (c AND d) OR (e AND f);END sop_arc;8.用VHDL写出布尔表达式解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY boolean ISPORT (a,b,c:IN STD_LOGIC;f:OUT STD_LOGIC);END boolean;ARCHITECTURE boolean_arc OF boolean ISBEGINf<=(a OR (NOT b)OR c) AND (a OR b OR(NOT c))AND ((NOT a) OR (NOT b) OR (NOT c));END boolean_arc;9.用VHDL结构法写出SOP表达式解:源代码:――三输入与非门的逻辑描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY nand3 ISPORT (a,b,c:IN STD_LOGIC;x:OUT STD_LOGIC);END nand3;ARCHITECTURE nand3_arc OF nand3 ISBEGINx<=NOT (a AND b AND c);END nand3_arc;――顶层结构描述文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sop ISPORT (in1,in2,in3,in4,in5,in6,in7,in8,in9:IN STD_LOGIC;out4:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISCOMPONENT nand3PORT (a,b,c:IN STD_LOGIC;x:OUT STD_LOGIC);END COMPONENT;SIGNAL out1,out2,out3:STD_LOGIC;BEGINu1:nand3 PORT MAP (in1,in2,in3,out1);u2:nand3 PORT MAP (in4,in5,in6,out2);u3:nand3 PORT MAP (in7,in8,in9,out3);u4:nand3 PORT MAP (out1,out2,out3,out4);END sop;10.用VHDL数据流法写出SOP表达式解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sop ISPORT (in1,in2,in3,in4,in5,in6,in7,in8,in9:IN STD_LOGIC;out4:OUT STD_LOGIC);END sop;ARCHITECTURE sop_arc OF sop ISBEGINout4<=(in1 AND in2 AND in3) OR (in4 AND in5 AND in6 ) OR (in7 AND in8 AND in9);END sop_arc;13.用VHDL设计3-8译码器解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder_3_to_8 ISPORT(a,b,c,g1,g2a,g2b:IN STD_LOGIC;y:OUT STD_LOGIC _VECTOR(7 downto 0));END decoder_3_to_8;ARCHITECTURE rt1 OF decoder_3_to_8 ISSIGNAL indata:STD_LOGIC _VECTOR(2 downto 0);BEGINindata<=c & b & a;PROCESS(indata,g1,g2a,g2b)BEGINIF(g1=′1′ AND g2a=′0′ AND g2b=′0′)THENCASE indata ISWHEN "000"=>y<="11111110";WHEN "001"=>y<="11111101";WHEN "010"=>y<="11111011";WHEN "011"=>y<="11110111";WHEN "100"=>y<="11101111";WHEN "101"=>y<="11011111";WHEN "110"=>y<="10111111";WHEN others=>y<="01111111";END CASE;ELSEy<="11111111";END IF;END PROCESS;END rt1;14.用VHDL设计七段显示译码器解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY segment7 ISPORT(xin:IN STD_LOGIC _VECTOR(3 downto 0);lt,rbi:IN STD_LOGIC;yout:OUT STD_LOGIC _VECTOR(6 downto 0);birbo:INOUT STD_LOGIC);END segment7;ARCHITECTURE seg7448 OF segment7 ISSIGNAL sig_xin:STD_LOGIC _VECTOR(3 downto 0);BEGINsig_xin<=xin;PROCESS(sig_xin,lt,rbi,birbo)BEGINIF(birbo=′0′)THENyout<="0000000";ELSIF (lt=′0′)THENyout<="1111111";birbo<=′1′;ELSIF (rbi=′0′AND sig_xin="0000")THENyout<="0000000";birbo<=′0′;ELSIF (rbi=′1′ AND sig_xin="0000")THENyout<="1111110";birbo<=′1′;ELSEbirbo<=′1′;CASE sig_xin ISWHEN "0001"=>yout<="0110000";WHEN "0010"=>yout<="1101101";WHEN "0011"=>yout<="1111001";WHEN "0100"=>yout<="0110011";WHEN "0101"=>yout<="1011011";WHEN "0110"=>yout<="0011111";WHEN "0111"=>yout<="1110000";WHEN "1000"=>yout<="1111111";WHEN "1001"=>yout<="1110011";WHEN others=>yout<="0100011";END CASE;END IF;END PROCESS;END seg7448;15.用VHDL设计8/3优先编码器解:源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY priorityencoder ISPORT(din:IN STD_LOGIC _VECTOR(7 downto 0);ei:IN STD_LOGIC;yout:OUT STD_LOGIC _VECTOR(2 downto 0);eo,gs:OUT STD_LOGIC);END priorityencoder;ARCHITECTURE cod74148 OF priorityencoder IS BEGINPROCESS(ei,din)BEGINIF(ei=′1′)THENyout<="111";eo<=′1′;gs<=′1′;ELSEIF(din(7)=′0′ ) THENyout<="000";eo<=′1′;gs<=′0′;ELSIF(din(6)=′0′ ) THENyout <="001";eo<=′1′;gs<=′0′;ELSIF(din(5)=′0′ ) THENyout<="010";eo<=′1′;gs<=′0′;ELSIF(din(4)=′0′ ) THENyout<="011";eo<=′1′;gs<=′0′;ELSIF(din(3)=′0′ ) THENyout<="100";eo<=′1′;gs<=′0′;ELSIF(din(2)=′0′ ) THENyout<="101";eo<=′1′;gs<=′0′;ELSIF(din(1)=′0′ ) THENyout<="110";eo<=′1′;gs<=′0′;ELSIF(din(0)=′0′ ) THENyout<="111";eo<=′1′;gs<=′0′;ELSIF(din="11111111") THENyout<="111";eo<=′0′;gs<=′1′;END IF;END IF;END PROCESS;END cod74148;16.用VHDL设计BCD码至二进制码转换器。

相关文档
最新文档