EDA实验-- 译码器与编码器的设计与仿真
EDA编码器译码器设计
VHDL语言的编码器1.设计原理1.1编码器的设计VHDL是一种行为描述语言,其编程结构类似于计算机中的C语言,在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。
在本设计中,应用VHDL语言,在Altera公司的Quartus II 7.2软件环境下,按照自顶而下的设计方法,对编译码器进行设计仿真。
根据给定的(n,k)值选定生成多项式g (x),即从xn+1的因子中选一个(n-k)次多项式作为,假设给定信息码组为m (x)= (mk-1,mk-2...m0),其次数小于k,则xn-km (x)的次数必定小于n。
用g (x)除xn-km (x),得到余式r (x),r (x)的次数必定小于g (x)的次数,即小于(n-k)。
将此余式r (x)加于信息位之后作为监督位,即r (x)和xn-km (x)相加,得到多项式必定是一个码组多项式。
因为它必定能被g (x)整除,且商的次数不大于(k-1)。
根据以上原理,循环码的编码步骤可以归纳如下:(1)用xn-k乘信息码m (x),这一运算实际上是在信息码后附加上(n-k)个“0”。
(2)用g (x)除xn-km (x),得到商Q (X),(3)编出的码组T (x) =xn-km (x) +r (x)。
由此可见,编码的核心是如何确定余式r (x),找到r (x)后,可直接将其所代表的编码位附加到信息位之后,完成编码。
编码电路可采用(n-k)级反馈移位寄存器和异或门(模2加)组成的除法电路实现。
2.设计步骤2.1 VHDL设计流程循环码编译系统的结构循环码编译系统结构图如图所示。
由定时控制单元信码发生器、编码器单元、模拟错码发生器、错码位置计算单元、纠错单元组成。
其中错码位置计算单元和纠错单元合在一起构成译码器。
2.2 程序流程分析该程序流程主要通过输入一组四位信息码组,然后根据编码后的余数输出对编码进行检错判断,若输出余数为000 则无错码。
eda译码器实验报告
eda译码器实验报告EDA译码器实验报告引言:本实验旨在通过使用EDA(Electronic Design Automation)软件,设计并验证一个译码器电路的功能和性能。
译码器是一种常见的数字电路,用于将输入的二进制代码转换为相应的输出信号。
在本实验中,我们将使用EDA软件进行电路设计、模拟和验证。
一、实验目的本实验的主要目的是通过设计和验证一个译码器电路,加深对EDA软件的理解和应用。
具体目标包括:1. 掌握EDA软件的基本操作和功能;2. 设计并实现一个译码器电路;3. 进行电路模拟和性能验证。
二、实验步骤1. EDA软件的安装与配置在开始实验之前,我们需要安装和配置EDA软件。
根据软件提供的安装向导,进行相应的操作,并确保软件能够正常运行。
2. 译码器电路的设计译码器电路是由多个逻辑门组成的,根据输入的二进制代码,将其转换为相应的输出信号。
在设计电路时,需要确定输入和输出的位数,并选择适当的逻辑门类型。
根据实验要求,我们选择了4位译码器电路作为设计目标。
3. 电路的连接与布线在EDA软件中,我们可以使用图形化界面进行电路的连接和布线。
首先,将所需的逻辑门拖拽到工作区,并根据电路设计的要求进行连接。
然后,通过布线功能将电路中的元件连接起来,确保信号能够正确传输。
4. 电路的模拟与验证在完成电路的连接和布线后,我们可以进行电路的模拟和验证。
通过EDA软件提供的仿真功能,输入不同的二进制代码,并观察输出信号的变化。
通过对比实际输出和预期输出,可以验证电路的正确性和性能。
三、实验结果与分析在进行电路模拟和验证后,我们得到了一系列的实验结果。
通过分析这些结果,可以得出以下结论:1. 译码器电路能够正确地将输入的二进制代码转换为相应的输出信号。
例如,输入“0000”时,输出为“0001”;输入“0001”时,输出为“0010”等等。
2. 译码器电路的响应速度较快,能够在很短的时间内完成输入和输出的转换。
编码器和译码器实验报告
编码器和译码器实验报告一、实验目的本次实验的主要目的是了解编码器和译码器的工作原理,掌握它们的应用方法,以及通过实际操作加深对它们的理解。
二、实验原理1. 编码器编码器是将输入信号转换为不同形式输出信号的电路。
常见的编码器有二进制编码器、格雷码编码器等。
其中,二进制编码器将输入信号转换为二进制数输出,而格雷码编码器则将输入信号转换为格雷码输出。
2. 译码器译码器是将输入信号转换为相应输出信号的电路。
常见的译码器有二进制译码器、BCD译码器等。
其中,二进制译码器将输入信号转换为相应位置上为1的二进制数输出,而BCD译码器则将4位二进制数转换为相应十进制数输出。
三、实验步骤1. 实验材料准备:编码开关、LED灯、电源线等。
2. 搭建编码-解码电路:将编码开关接入编码器输入端,并将LED灯接入对应位置的解码器输出端。
3. 进行测试:打开电源后,在编码开关上随意调整开关状态,观察LED灯是否能够正确显示对应的输出状态。
4. 实验记录:记录每次调整开关状态后LED灯的输出状态,以及对应的二进制数或十进制数。
四、实验结果与分析经过实验,我们得到了以下结果:1. 二进制编码器测试结果:编码开关状态 | 输出LED灯状态 | 二进制数---|---|---0000 | 0001 | 00000001 | 0010 | 00010010 | 0100 | 00100011 | 1000 | 00110100 | 0001 | 01000101 | 0010 | 01010110 | 0100 | 01100111 | 1000 | 0111从上表中可以看出,二进制编码器将输入的四位开关状态转换为相应的四位二进制数输出。
2. BCD译码器测试结果:编码开关状态(二进制)| 输出LED灯状态(十进制)---|---0000-1001(十进制)| 对应数字的十进制形式从上表中可以看出,BCD译码器将输入的4位二进制数转换为相应的十进制数字输出。
译码器和编码器实验报告
译码器和编码器实验报告一、实验目的。
本实验旨在通过对译码器和编码器的实验操作,加深对数字通信原理中编码解码技术的理解,掌握其工作原理和实际应用。
二、实验原理。
1. 译码器。
译码器是一种将数字信号转换为模拟信号或者模拟信号转换为数字信号的设备。
在数字通信系统中,译码器通常用于将数字信号转换为模拟信号,以便在模拟信道上传输。
在接收端,译码器将模拟信号转换为数字信号,以便进行数字信号处理和解码。
2. 编码器。
编码器是一种将数字信号转换为另一种数字信号的设备。
在数字通信系统中,编码器通常用于将数字信号转换为便于传输和存储的编码形式,以提高传输效率和数据安全性。
三、实验内容。
1. 实验仪器与材料。
本实验使用的仪器包括译码器、编码器、示波器、信号发生器等。
实验材料包括数字信号发生器、示波器连接线等。
2. 实验步骤。
(1)连接实验仪器,将数字信号发生器连接到编码器的输入端,将编码器的输出端连接到译码器的输入端,再将译码器的输出端连接到示波器。
(2)设置实验参数,调节数字信号发生器的频率和幅度,设置编码器和译码器的工作模式和参数。
(3)观察实验现象,通过示波器观察编码器和译码器的输入输出波形,记录实验数据。
(4)分析实验结果,根据实验数据分析编码器和译码器的工作原理和特性,总结实验结果。
四、实验结果与分析。
通过本次实验,我们成功观察到了编码器和译码器的输入输出波形,并记录了相应的实验数据。
通过分析实验结果,我们深入理解了译码器和编码器的工作原理和特性,对数字通信原理有了更深入的认识。
五、实验总结。
本次实验通过实际操作加深了我们对译码器和编码器的理解,提高了我们的实验操作能力和数据分析能力。
译码器和编码器作为数字通信系统中重要的组成部分,对数字信号的处理和传输起着至关重要的作用,我们应进一步深入学习和掌握其原理和应用。
六、实验心得。
通过本次实验,我们不仅学习到了译码器和编码器的工作原理,还提高了实验操作和数据分析的能力。
EDA实验三组合逻辑电路设计(一)--编译码器设计
西安邮电学院实验中心实验报告院系电子工程学院班级学号姓名成绩教师签字实验日期实验名称组合逻辑电路设计(一)--编译码器设计_______________________________________________________一、实验目的二、实验所用仪表及主要器材三、实验原理简述四、实验测量记录:(如数据、表格、曲线、计算等)五、实验遇到的问题及解决办法:(余留问题,体会等)一、实验目的(1)熟悉组合逻辑电路的VHDL描述方法。
(2)掌握利用CPL器件实现组合逻辑数字电路的方法和过程。
(3)熟练掌握“case”语句与“if…else…”语句的用法。
二、实验所用仪表及主要器材PC,可编程逻辑实验电路板,下载线,USB电源线,双踪示波器,数字万用表,导线若干。
三、实验原理简述应用VHDL设计简单的逻辑电路四、实验内容在MAX+PULSII环境下,用VHDL语言按照输入—>编译—>仿真。
(1)8421BCD码转换为余3码转换表.在MAX+plusII 环境下,用VHDL 语言描述下列逻辑电路,并编译,仿真。
程序仿真结果:(2)设计一个优先编码器。
程序实现如下:仿真结果:五、实验结果见上述内容。
六、实验心得在本次实验中我学会了使用MAX+PLUSII软件的文本编程的方式设计电路。
在本次实验的文本编译环节中出现不少问题:(1)保存时文件名与实体名不一致,导致程序编译结果不正确。
(2)写程序时没有按照语法规则编写,使得文件编译频繁报错,标点的错误也会导致整个程序无法编译。
经过本次实验,加深了我对VHDL的文本编译设计的理解,今后我应该多练习MAX+PLUSII软件以减少错误。
译码器和编码器实验报告
译码器和编码器实验报告实验报告:译码器和编码器实验目的:1.了解数字电路中译码器和编码器的原理。
2.通过实验了解译码器和编码器的工作过程。
3.锻炼实验操作能力。
实验器材:1.数字实验箱。
2.74LS147译码器芯片。
3.74LS148编码器芯片。
4.连线电缆。
5.电源。
实验原理:1.译码器的作用是将输入的数字信号转换成特定的输出信号。
2.编码器的作用是将特定的输入信号转换成数字信号。
3.74LS147是一个10到4行BCD译码器,输入BCD码,输出对应的十进制数。
4.74LS148是一个4到10行BCD编码器,输入对应的十进制数,输出对应的BCD码。
实验步骤:1.搭建74LS147译码器电路。
2.输入BCD码,记录输出的十进制数。
3.搭建74LS148编码器电路。
4.输入十进制数,记录输出的BCD码。
实验结果:1.输入BCD码1111,输出的十进制数字为15。
2.输入BCD码0001,输出的十进制数字为1。
3.输入十进制数字9,输出的BCD码为1001。
4.输入十进制数字3,输出的BCD码为0011。
实验结论:1.通过本次实验,我们成功了解了数字电路中译码器和编码器的原理和工作过程,掌握了实验操作技能。
2.74LS147译码器芯片的作用是输入BCD码,输出对应的十进制数;74LS148编码器芯片的作用是输入对应的十进制数,输出对应的BCD码。
3.译码器和编码器是数字电路中常用的组件,广泛应用于计算机、通信等各个领域,对现代生产和生活产生了巨大的影响。
4.数字电路是计算机科学中非常重要的基础,通过实验学习数字电路的原理和工作方式,有助于我们更好地理解计算机的工作原理,同时也有助于锻炼我们的实验操作能力。
译码器、编码器及其应用实验报告
译码器、编码器及其应用实验报告实验四译码器、编码器及其应用实验人员:班号:学号:一、实验目的(1) 掌握中规模集成译码器的逻辑功能和使用方法;(2) 熟悉掌握集成译码器和编码器的应用;(3) 掌握集成译码器的扩展方法。
二、实验设备数字电路实验箱,74LS20,74LS138。
三、实验内容(1) 74LS138译码器逻辑功能的测试。
将74LS138输出接数字实验箱LED管,地址输入接实验箱开关,使能端接固定电平(或GND)。
电路图如Figure 1所示:Figure 2时,任意拨动开关,观察LED显示状态,记录观察结果。
时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。
用Multisim进行仿真,电路如Figure 3所示。
将结果与上面实验结果对照。
Figure 4(2) 利用3-8译码器74LS138和与非门74LS20实现函数:四输入与非门74LS20的管脚图如下:对函数表达式进行化简:按Figure 5所示的电路连接。
并用Multisim进行仿真,将结果对比。
Figure 6(3) 用两片74LS138组成4-16线译码器。
因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。
而输入端只有三个,故要另用使能端进行片选使两片138译码器进行分时工作。
而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试,在各端子上移动即可。
在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED):Figure 8四、实验结果(1) 74LS138译码器逻辑功能的测试。
当输入时,应该是输出低电平,故应该第一个小灯亮。
实际用实验台测试时,LE0灯显示如Figure 9所示。
当输入时,应该是输出低电平,故理论上应该第二个小灯亮。
实际用实验台测试时,LE0灯显示如Figure 6所示。
Figure 10Figure 11同理进行其他的测试。
实验3 实验三 编码器、译码器及应用电路设计
实验三编码器、译码器及应用电路设计一、实验目的:1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法;2、学会编码器、译码器应用电路设计的方法;3、熟悉译码显示电路的工作原理。
二、实验原理:1、什么是编码:用文字、符号、或者数字表示特定对象的过程称为编码.2、编码器74LS147的特点及引脚排列图:74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。
什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。
译码器分为三类:二进制译码器、二—十进制译码器、显示译码器。
4、译码器按照功能的不同,一般分为三类:(1)变量译码器74LS138的特点及其引脚排列图:反码输出,ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。
(2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器。
译码器74LS42的特点及其引脚排列图:译码器74LS42的功能是将8421BCD码译成10个对象其原理与74LS138类同,只不过它有四个输入端,十个输出端。
(3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路。
a、七段发光二极管数码显示管的特点:(共阴极)b、七段译码驱动器:4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。
三、实验器件:集成块:74LS147 74LS138 74LS42四、实验内容与步骤:74LS147编码器逻辑功能测试:将编码器9个输入端I1~I9各接一根导线,来改变输入端的状态,4个输出端依次从低到高Q3-Q0示,在各输入端输入有效电平,观察并记录电路输入与输出地对应关系,以及当几个输入同时我有效电平时编码器的优先级别关系。
2、74LS138 译码器逻辑功能测试:3、74LS47译码器逻辑功能测试:4、编码器、译码器和显示器三者之间的联接:5、用两片74LS138组合成一个4-16线的译码器,并进行实验。
实验四-编码器-和译码器电路仿真实验
实验四编码器、译码器电路仿真实验一、实验目的1、掌握编码器、译码器的工作原理。
2、常见编码器、译码器的应用。
二、实验原理数字信号不仅可以用来表示数,还可以用来表示各种指令和信息。
所谓编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。
例如,用二进制数码表示十六进制数叫做二-十六进制编码。
能完成编码功能的电路统称为编码器。
74LS148D是常用的八-三优先编码器。
在八个输入线上可以同时出现几个有效输入信号,但只对其中优先权最高的一个有效输入信号进行编码。
其中7端优先权最高,0端优先权最低,其他端的优先权按照脚号的递减顺去排列。
~E1为选通输入端,低电平有效,只有~EI=0时,编码器正常工作,而在~EI=1时,所有的输出端均被封锁。
E0为选通输出端,GS为优先标志端。
该编码器输入、输出均为低电平有效。
译码是编码的逆过程,将输入的每个二进制代码赋予的含义“翻译”过来,给出相应的输出信号。
能够完成译码功能的电路叫做译码器。
74LS138D属于三-八线译码器,该译码器输入高电平有效,输出低电平有效。
三、实验步骤1、8-3线优先编码器:如下图所示连接电路:切换9个单刀双掷开关进行仿真实验,将结果记录入下表中,输入端“1”表示高电平,“0”表示低电平,“X”表示高低电平都可以。
输出端中的“1”表示探测器亮,“0”表示探测器灭。
该编码器输入、输出均为低电平有效。
2、3-8线译码器实验步骤如下图所示连接电路切换3个单刀双掷开关进行仿真实验,实验结果记录如下表中。
输入端中的“1”表示接高电平,“0”表示接地电平。
输出端中的“1”表示探测器亮,“0”表示探测器灭。
该译码器输入高电平有效,输出低电平有效。
四、思考题:(1)利用两块8-3线优先编码器74LS148D设计16-4线优先编码电路,然后仿真实验验证16-4线优先编码的逻辑功能。
实验线路如图:真值表如图所示:(2)利用两块3-8线译码器74LS138D设计4-16线译码器,然后仿真验证4-16线译码逻辑功能。
编码器与译码器实验报告
本科学生设计性实验报告
学号124100158 姓名颜洪毅
学院信息学院专业、班级计算机科学与技术
实验课程名称数字逻辑与数字系统
教师及职称王坤
开课学期2013 至2014 学年第一学期
填报时间2013 年10 月20 日
云南师范大学教务处编印
一、实验设计方案
(2)、参照设计好的电路图,完成电路接线。
(3)、根据设计要求完成电路逻辑功能与数据的验证。
5.实验数据处理方法
将所得数据列表处理,对比实验结果。
6.参考文献
无
教师对实验设计方案的意见
签名:
年月日二、实验报告
1.实验现象与结果
74LS138
74LS148
74LS47
呼叫器
2.对实验现象、实验结果的分析及其结论实验结果符合各芯片逻辑功能特点三.实验总结
1.本次实验成败及其原因分析
本次实验很成功!
1、实验仪器和器材均正常工作且无损伤;
2、实验线路连接正确;
3、正确的实验操作。
2.本实验的关键环节及改进措施。
编码器与译码器实验报告
编码器与译码器实验报告一、实验目的本次实验的主要目的是深入理解编码器和译码器的工作原理,通过实际操作和观察,掌握它们的功能和应用,并学会使用相关的实验设备进行电路搭建和测试。
二、实验原理(一)编码器编码器是一种将输入信号转换为特定编码输出的数字电路。
常见的编码器有二进制编码器和优先编码器。
二进制编码器将多个输入信号转换为对应的二进制编码输出。
优先编码器则在多个输入同时有效时,优先对优先级较高的输入进行编码。
(二)译码器译码器则是将输入的编码信号转换为对应的输出信号。
常见的译码器有二进制译码器和显示译码器。
二进制译码器将输入的二进制编码转换为多个输出信号,每个输出对应编码的一个可能值。
显示译码器则用于驱动数码管等显示器件,将输入的编码转换为适合显示的信号。
三、实验设备与器材本次实验使用的设备和器材包括:数字电路实验箱、74LS148 优先编码器芯片、74LS138 二进制译码器芯片、逻辑电平指示灯、导线若干。
四、实验步骤(一)74LS148 优先编码器实验1、按照实验电路图,在数字电路实验箱上正确连接 74LS148 优先编码器芯片和逻辑电平指示灯。
2、依次将输入引脚设置为不同的电平组合,观察输出引脚的编码值,并记录在实验表格中。
3、分析实验结果,验证优先编码器的工作原理和功能。
(二)74LS138 二进制译码器实验1、依照实验电路图,在数字电路实验箱上连接 74LS138 二进制译码器芯片和逻辑电平指示灯。
2、改变输入引脚的二进制编码值,观察输出引脚的电平状态,并记录下来。
3、对比理论预期结果,检验二进制译码器的正确性。
五、实验数据与结果(一)74LS148 优先编码器实验数据|输入引脚电平|输出编码值||||| I0=0, I1=0, I2=0, I3=0, I4=0, I5=0, I6=0, I7=0 | 000 || I0=1, I1=0, I2=0, I3=0, I4=0, I5=0, I6=0, I7=0 | 111 || I0=0, I1=1, I2=0, I3=0, I4=0, I5=0, I6=0, I7=0 | 110 ||||(二)74LS138 二进制译码器实验数据|输入编码值|输出引脚电平||||| 000 | Y0=1, Y1=0, Y2=0, Y3=0, Y4=0, Y5=0, Y6=0, Y7=0 || 001 | Y0=0, Y1=1, Y2=0, Y3=0, Y4=0, Y5=0, Y6=0, Y7=0 ||||六、实验结果分析(一)74LS148 优先编码器通过实验数据可以看出,当多个输入引脚同时为高电平时,编码器优先对优先级较高的输入进行编码。
编码器、译码器的仿真,EDA(一)数字部分 电子线路仿真实验报告 ,南京理工大学紫金学院
EDA(一)数字部分电子线路仿真实验报告实验名称:编码器、译码器的仿真姓名:学号:班级:通信时间:2013.5南京理工大学紫金学院电光系一、 实验目的1、熟悉Multisim7仿真软件数电部分的使用;2、掌握编码器、译码器和数码管逻辑功能和使用方法;3、能够利用编码器、译码器设计简单键盘编码显示电路。
二、实验原理1、10线-4线优先编码器7414774147功能表2、通用七段显示译码器7447123456789(11)(12)(13)(1)(2)(3)(4)(5)(10)HPRI/BCD1248(9)(7)(6)(14)Y 0Y 1Y 2Y 391011121314151612345678I 4I 5I 6I 7I 9Y 2Y 1GND Vcc NC Y 3I 3I 2I 1I 9Y 0逻辑图引脚图I 1 I 2 I 3 I 4 I 5 I 6 I 7 I 8 I 9 Y 3 Y 2 Y 1 Y 0输 入输 出0987654321100111111111100001111110011001101010101010********10111111110111111101111110111110111101110110十进制数7447功能说明:1)7447为七段显示译码器集成电路,输出低电平有效,用以驱动共阳显示器。
2)BI/RBO为特殊控制端。
作为输入使用时,若BI=0,电路完成灭灯功能。
作为输出使用,输出值受制于LT和RBI取值。
3)LT为试灯输入。
LT=0, BI/RBO是输出端,且RBO=1,无论其他输入端什么状态,数码管显示8字形。
4)RBI为动态灭零输入。
LT=1, RBI=0,且输入代码为0000时,电路不显示,故称灭零。
此时BI/RBO是输出端,输出RBO=0。
5)对输入代码0000译码条件是LT=RBI=1;对其他代码译码条件LT=1。
三、实验内容1、全加器2、字信号发生器+逻辑分析仪+全加器3、逻辑转换仪+全加器4、74147键盘电路5、74147+7447键盘电路6.74138电路四、小结与体会通过此次编码器,译码器的仿真实验,我熟悉了Multisim7仿真软件数电部分的使用;掌握编码器、译码器和数码管逻辑功能和使用方法.。
EDA实验—译码器设计
电子信息工程学系实验报告课程名称:EDA技术与实验实验项目名称:三八译码器设计实验时间:班级:通信091 姓名:Jxairy 学号:实验目的:1.熟悉ALTERA公司EDA设计工具软件max+plusⅡ。
2.掌握max+plusⅡ文本、原理图设计及其仿真。
实验环境:Windows 7、max+plusⅡ10等。
实验原理:(详见实验分析部分。
)实验内容:1.运用max+plusⅡ软件,设计三八译码器,掌握max+plusⅡ软件的文本设计流程。
2.运用max+plusⅡ软件,设计三八译码器,掌握max+plusⅡ软件的原理图设计流程。
3.了解和熟悉max+plusⅡ软件的菜单界面和命令功能。
实验过程:一、三八译码器文本设计:(1)新建文本:选择菜单File下的New,出现如图2.1所示的对话框,在框中选中“Text Editorfile”,按“OK”按钮,即选中了文本编辑方式。
(2)另存为Verilog编辑文件,如图2.2所示。
(3)在编辑窗口中输入程序。
图2.1 新建文本图2.2 另存为.V编辑文件(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,您必须确定一个设计文件作为您的当前项目。
请按下列步骤确定项目名:在File menu 菜单中选择Project Name项,将出现Project Name 对话框:在Files 框内,选择您的设计文件。
选择“OK”。
如图2.3所示。
图2.3 设置当前仿真的文本设计(5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图2.4的编译器窗口。
图2.4 编译器窗口选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。
(6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。
实验3编码器译码器及应用电路设计
实验3编码器译码器及应用电路设计引言:编码器和译码器是数字电路中常用的电路模块。
它们分别用于将逻辑信号转换为编码信号和将编码信号转换为逻辑信号。
本实验将介绍编码器、译码器的基本原理以及它们的应用电路设计。
一、编码器的原理及应用编码器是一种多输入、多输出的逻辑电路。
它根据输入的逻辑信号,将其编码成对应的输出信号。
常见的编码器有BCD二进制编码器、优先编码器、旋转编码器等。
1.BCD二进制编码器BCD二进制编码器是一种将BCD码转换为二进制码的电路。
BCD码是由4位二进制数表示的十进制数。
BCD编码器可以将输入的BCD码(0-9)转换为对应的二进制码(0000-1001)。
2.优先编码器优先编码器是一种将多个输入信号优先级编码成二进制输出的电路。
它可用于实现多路选择器和多路复用器等电路。
优先编码器将输入的信号进行优先级编码,并将最高优先级的信号对应的二进制码输出。
3.旋转编码器旋转编码器是一种可以检测旋转方向和位移的编码器。
它通常用于旋转开关、旋钮等输入设备的位置检测。
旋转编码器可以将旋转输入转换为相应的编码输出信号,以便进行方向和位移的判断。
二、译码器的原理及应用译码器是一种将编码信号转换为对应的逻辑信号的逻辑电路。
它与编码器相反,根据输入的编码信号选择对应的输出信号。
常见的译码器有BCD译码器、行列译码器等。
1.BCD译码器BCD译码器是一种将BCD编码转换为对应的逻辑信号的电路。
它可以将输入的BCD编码(0000-1001)转换为对应的输出信号(0-9)。
BCD译码器可以用于显示数字、控制LED灯等应用。
2.行列译码器行列译码器是一种多输入、多输出的译码器。
它常用于矩阵键盘、扫描式显示器等应用中。
行列译码器可以将输入的行列编码转换为对应的输出信号,以实现输入设备和输出设备之间的数据传输。
1.4位BCD码转换为二进制码的电路设计该电路可以将输入的4位BCD码转换为对应的二进制码。
采用BCD二进制编码器进行设计,具体连接方式如下:-将4个BCD输入信号与编码器的输入端相连;-将编码器的输出信号与对应的二进制码输出端相连。
电路EDA实验报告 二-十译码器仿真
贵州大学电路EDA电路技术课程考核报告08级计算机系统维护专业班级:08级计维班姓名:**********学号:PZ082014111一、实验名称:仿真二-十译码器的结果二、实验目的:1.学会用OrCAD仿真电路。
2.用OrCAD仿真二-十进制译码器。
三、实验要求:1.熟悉用OrCAD仿真电路。
2.了解二-十译码器的结构和逻辑功能。
3.用OrCAD仿真二-十译码器四、实验原理:二-十进制译码器是由十个与非门和八个非门构成的电路,它的功能是将BCD码的10个代码译成10 个对应的高、低电平输出信号,对BCD代码以外的伪码1010——1111 这几个代码输出均无低电平信号产生,对这几个代码构成拒绝功能。
4位输入代码共有十六个组合状态,其中六个没有与其对应的输出端,这六个代码称为伪码。
伪码输入时,十个输出端处于无效状态。
若输出端是低电平有效,则此时输出均为高电平。
五.实验过程:1.打开Orcad,建立工程文件命名并保存:打开文件:3.画电路图。
(1)提取元件、(2)画图、CLK DSTM3OFFTIME = 4mS ONTIME = 4mS DELAY =STARTVAL = 0OPPVAL = 1Y8U35A744061245Y5Y2U16A74ALS100412U37A744061245A1U26A744061245U21A 74ALS100412U23A74ALS100412A0Y9U19A74ALS100412A3U28A744061245Y0Y6CLK DSTM1OFFTIME = 1mS ONTIME = 1mS DELAY =STARTVAL = 0OPPVAL = 1U27A744061245U38A744061245CLK DSTM2OFFTIME = 2mS ONTIME = 2mS DELAY =STARTVAL = 0OPPVAL = 1U17A74ALS100412U29A744061245Y1U20A 74ALS100412U18A74ALS100412CLK DSTM4OFFTIME = 8mS ONTIME = 8mS DELAY =STARTVAL = 0OPPVAL = 1U24A744061245A2Y3Y7Y4U36A744061245U30A744061245U22A74ALS100412(3)设置输入信号、A0输入端的OFFTIME与ONTIME设为1ms,使它能以0101010101010101输入,A1输入端的OFFTIME与ONTIME设为2ms,使它以0011001100110011输入,而A2输入端的OFFTIME与ONTIME 设为4ms,能以0000111100001111,同理A3输入端的OFFTIME与ONTIME设为8ms,使它能以000000001111111输入,这样才能保证输入端能以BCD码输入。
译码器与编码器的设计与仿真实验报告
译码器与编码器的设计与仿真一、实验内容1、参照芯片74LS138的电路结构,用逻辑图与VHDL语言设计3—8译码器。
2、参照芯片74LS148的电路结构,用逻辑图与VHDL语言设计8—3优先编码器。
3、应用MaxplusII软件对译码器与编码器进行编译、仿真和模拟。
4、能更加熟练的掌握应用MaxplusII软件,从而更形象更深层次的理解译码器与编码器。
二、实验平台及实验方法用VHDL语言编写编码器与译码器的程序,运用MaxplusII 软件进行仿真,再结合FPGA(即对实验箱的芯片进行编译)进行验证。
也可以用原理图进行文本设计,波形设计。
三、实验过程1.启动MaxplusII软件;2.新建一个文本编辑文件,输入全加器的VHDL语言;3.编译。
点击file→save as,保存文件名为V74x148名称,扩展名为vhd,选择芯片类型为EPF10K20TI144-4,保存并进行编译,若编译结果出现0 error,0 warnings则说明编译通过。
4.仿真波形。
点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plusII→Simulator,即可仿真出输出的波形。
5.配置芯片。
点Max+plus II→Floorplan editor,将Unassigned Nodes & 栏中,电路的输入输出节点标号直接用鼠标“拖到” 想分配的引脚上,Max+plusII→programmer→configuer,然后就可以操作试验箱,观察全加器的工作情况。
四、实验结果实验步骤:1、用VHDL语言编写编码器的程序2、将上述程序保存为文件名为V74x148的文件,点击Maxplus里的compiler进行编译,点击start,如果出现0 error,0 warnings,则编译成功。
实验二组合逻辑电路编码器译码器的设计与测试
实验二组合逻辑电路编码器译码器的设计与测试一、实验目的1.掌握编码器的原理和基本结构;2.了解译码器的原理和基本结构;3.掌握编码器和译码器的设计方法;4.通过实验,验证编码器和译码器的功能。
二、实验原理编码器是一种将多个输入信号转换为二进制编码输出的组合逻辑电路。
编码器的输入信号可以是多个,输出信号是二进制编码。
编码器主要用于将多个不同的输入信号通过编码转换为数字输出,使得电路的复杂度得到简化。
译码器就是编码器的逆过程,译码器是一种将二进制编码转换为多个输出信号的组合逻辑电路。
译码器的输入信号是二进制编码,输出信号可以是多个。
编码器和译码器是数字电路中非常重要的组合逻辑电路,广泛应用于计算机、通信、控制等领域。
三、实验内容根据所给的真值表,设计并实现一个2-4线的编码器;设计和实现一个4-2线的译码器;验证实验结果。
四、实验仪器和器件五、实验步骤1.编码器的设计和实现根据所给的真值表,设计并实现一个2-4线的编码器。
首先,根据编码器的输入和输出关系,设计出2-4线的编码器的真值表,并根据真值表进行逻辑设计。
编码器的输入信号有2个,输出信号是4位的二进制编码。
最后,将开关和LED灯连接到逻辑电路上,进行测试和验证。
调试完毕后,记录下测试结果。
2.译码器的设计和实现设计和实现一个4-2线的译码器。
首先,根据译码器的输入和输出关系,设计出4-2线的译码器的真值表,并根据真值表进行逻辑设计。
译码器的输入信号是4位的二进制编码,输出信号有2个。
最后,将开关和LED灯连接到逻辑电路上,进行测试和验证。
调试完毕后,记录下测试结果。
3.验证实验结果通过对编码器和译码器的测试,验证实验结果是否符合设计要求。
当输入信号发生变化时,观察LED灯的亮灭情况,确认编码器和译码器的功能是否正确。
六、实验结果与分析经过实验测试,编码器和译码器的功能正常,符合设计要求。
输入信号的变化能够正确地转换为二进制编码输出;输入二进制编码信号能够正确地转换为输出信号。
EDA实验-- 译码器与编码器的设计与仿真
实验三译码器与编码器的设计与仿真一、实验目的:熟悉Quartus软件的基本操作,掌握用Quartus软件验证VHDL语言。
熟悉译码器与编码器所实现功能及其应用,通过实验堆译码器与编码器有更深刻理解。
一、实验内容:1.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器;2.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。
三、实验原理:电路功能介绍1.74148:8-3优先编码器(8 to 3 Priority Encoder)用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。
键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。
译码器与编码器的功能正好相反。
逻辑框图逻辑功能表逻辑表达式和逻辑图:由你来完成。
2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。
显示器中的像素点受到译码器的输出控制。
逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。
如下图所示。
逻辑功能表:用真值表来定量描述该电路的逻辑功能。
这个表是设计3-8译码器的关键;74138的逻辑功能表如下:注:使能端G1是高电平有效;使能端G2是低电平有效,G2 = G2A AND G2B 。
代码输入端解码信号输出端低电平有效使能输入端四、实验步骤:1、译码器:(1)在Quartus软件中输入以下程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lbz3 isport (A : in std_logic_vector(2 downto 0); Y : out std_logic_vector(7 downto 0)); end lbz3;architecture art of lbz3 isbeginY<="10000000" when(A="111")else "01000000" when(A="110")else"00100000" when(A="101")else"00010000" when(A="100")else"00001000" when(A="011")else"00000100" when(A="010")else"00000010" when(A="001")else"00000001";end art;在Quartus中对程序进行编译如下所示:从编译界面可以看到程序运行无错误;通过“RTL viewer”按钮生成综合电路图如下:(2)根据综合电路图生成如下功能仿真波形:如仿真图可以看出当A=“001”时Y=“00000010”,同理当A取其他值时Y也输出相应的值,满足译码器逻辑功能表。
EDA实验报告
sum: out std_logic_vector(n downto 1);
cout: out std_logic
);
end siwei;
architecture Behavioral of siwei is
component quanjia
port (a,b,cin:in std_logic;
when"110"=>Y<="01000000";
when"111"=>Y<=;
whenothers=>null;
endcase;
elseY<=;
endif;
endprocess;
endBehavioral;
仿真结果:
2. 60进制计数器
实验程序:
library IEEE;
use Uncomment the following lines to use the declarations that are
仿真结果:
实验二、四位全加器和8位移位寄存器设计实验
1、实验目的
1)学习了解加法器工作原理。
2)学习用VHDL语言设计全加器的设计方法。
3)学习使用元件例化的方法设计多位加法器。
4)了解移位寄存器的工作原理
5)学习移位寄存器设计方法
2、实验内容
1)用VHDL语言设计全加器。
2)用元件例化方法设计一个四位二进制加法器。
验一:译码器及计数器设计实验
1、实验目的
1)复习二进制译码器的功能。
2)学习VHDL语言源程序输入方法。
3)学习VHDL语言源程序检查和修改。
eda的几个实验仿真图形
实验项目一:74LS318译码器的设计实验目的:1、通过一个简单的三-八译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3,初步了解QUARTUS II原理图输入设计的全过程。
二、实验的硬件要求:1、EDA2000实验箱(其他厂家具有同等配置试验箱均可),主要使用:输入:DIP拨码开关3位、输出:LED灯;2、主芯片:EP1K1OTC100-3(大于此规模的芯片亦可);3、计算机与QUARTUS 软件;三、实验原理三-译码器为三输入、八输出。
当输入信号按二进制方式的表示值为N时(输入端低电平有效),输出端从零到七,标号为N输出端输出低电平表示有信号产生,而其它则为高电平表示无信号产生。
因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为低电平的情况下,能表示所有的输入组合,因此不需要像编码器实验那样再用一个输出端指示输出是否有效。
但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。
本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。
表8.1三-八译码器真值表输入输出A2 A1 A0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y00 0 0 0 0 0 0 0 0 0 10 0 1 0 0 0 0 0 0 1 00 1 0 0 0 0 0 0 1 0 00 1 1 0 0 0 0 1 0 0 01 0 0 0 0 0 1 0 0 0 01 0 1 0 0 1 0 0 0 0 01 1 0 1 0 0 0 0 0 01 1 1 1 0 0 0 0 0 0 0四、实验步骤:打开QUARTUS II,打开原理图编辑器,按图8.26进行原理图设计。
各逻辑符号放置在原理图上后,经检查保存原理图。
完成了原理图的输入后,我们就可以用前面介绍的软件的使用方法,对程序进行管脚的定义、编译、仿真、下载,完成整个实验的设计。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验三译码器与编码器的设计与仿真
一、实验目的:
熟悉Quartus软件的基本操作,掌握用Quartus软件验证VHDL语言。
熟悉译码器与编码器所实现功能及其应用,通过实验堆译码器与编码器有更深刻理解。
一、实验内容:
1.参照芯片74LS138的电路结构,用逻辑图和VHDL语言设计3-8译码器;2.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。
三、实验原理:
电路功能介绍
1.74148:8-3优先编码器(8 to 3 Priority Encoder)
用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。
键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。
译码器与编码器的功能正好相反。
逻辑框图
逻辑功能表
INPUTS OUTPUTS
EN 0N 1N 2N 3N 4N 5N 6N 7N A2 A1 A0 EO GS
1 × × × × × × × × 1 1 1 1 1
0 × × × × × × × 0 0 0 0 0 1
0 × × × × × × 0 1 0 0 1 0 1
0 × × × × × 0 1 1 0 1 0 0 1
0 × × × × 0 1 1 1 0 1 1 0 1
0 × × × 0 1 1 1 1 1 0 0 0 1
0 × × 0 1 1 1 1 1 1 0 1 0 1
0 × 0 1 1 1 1 1 1 1 1 0 0 1
0 0 1 1 1 1 1 1 1 1 1 1 0 1
0 1 1 1 1 1 1 1 1 1 1 1 1 0
逻辑表达式和逻辑图:由你来完成。
2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器
用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。
显示器中的像素点受到译码器的输出控制。
逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。
如下图所示。
代
码
输入端
解
码
信
号
输
出
端低
电
平
有
效
使能输入端
逻辑功能表:用真值表来定量描述该电路的逻辑功能。
这个表是设计3-8译码器的关键;74138的逻辑功能表如下:
注:使能端G1是高电平有效;
使能端G2是低电平有效,G2 = G2A AND G2B。
四、实验步骤:
1、译码器:
(1)在Quartus软件中输入以下程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity lbz3 is
port (A : in std_logic_vector(2 downto 0);
Y : out std_logic_vector(7 downto 0));
end lbz3;
architecture art of lbz3 is
begin
Y<="10000000" when(A="111")else
"01000000" when(A="110")else
"00100000" when(A="101")else
"00010000" when(A="100")else
"00001000" when(A="011")else
"00000100" when(A="010")else
"00000010" when(A="001")else
"00000001";
end art;
在Quartus中对程序进行编译如下所示:
从编译界面可以看到程序运行无错误;通过“RTL viewer”按钮生成综合电路图如下:
(2)根据综合电路图生成如下功能仿真波形:
如仿真图可以看出当A=“001”时Y=“00000010”,同理当A取其他值时Y也输出相应的值,满足译码器逻辑功能表。
(3)对应时序波形如下所示:
2、编码器:
(1)在Quartus软件中输入以下程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity lbz31 is
port (A : in std_logic_vector(0 to 7);
Y : out std_logic_vector(0 to 2));
end lbz31;
architecture art of lbz31 is
begin
process(A)
begin
if (A(7)='0')then Y<="111";
elsif (A(7)='0')then Y<="111";
elsif (A(6)='0')then Y<="110";
elsif (A(5)='0')then Y<="101";
elsif (A(4)='0')then Y<="100";
elsif (A(3)='0')then Y<="011";
elsif (A(2)='0')then Y<="010";
elsif (A(1)='0')then Y<="001";
elsif (A(0)='0')then Y<="000";
end if;
end process;
end;
(2)在Quartus中对程序进行编译如下所示:
从编译界面可以看到程序运行无错误;通过“RTL viewer”按钮生成综合电路图如下:
(3)根据综合电路图生成如下功能仿真波形:
如仿真图可以看出当A=“10000000”时Y=“110”,同理当A取其他值时Y也输出相应的值,满足译码器逻辑功能表。
(4)对应时序波形如下所示:
五、实验总结:
(1)通过实验掌握了译码器与编码器的原理与运行程序;(2)加深了对译码器与编码器的使用;
(3)对Quartus软件有更深刻的理解。