清华大学电工技术电子技术1PPT课件
电工技术电子技术-清华-6-55页文档资料
则:
IAN
UAN Z
IA
U
CN
IB
据此可直接得出另两相电流:
IC
U AN
I A
IBNIB IAN120 ICNIC IAN120
U BN
I OI AI BI C0(中线电流为0)
3. 负载对称,只要求电流、电压大小时,仅算一相
有0效5.11.值2019即可。
C
I C
U AN
1 3
U
l
30
U
P
30
U BN
1 3 U l 150 U P 150
05.11.2019
U CN
13Biblioteka Ul90课件
U
P
90
25
(2) 相电流
A
N IN IA
B
IB
C
IANU RANU RP30
I C
第6讲
第四章
三相交流电路
05.11.2019
课件
海南风1 光
第四章 三相交流电路
§4.1 三相交流电源
4.1.1 三相电动势的产生 4.1.2 三相交流电源的连接
§4.2 三相负载及三相电路的计算
4.2.1 星形接法及计算 4.2.2 三角形接法及计算
§4.3 三相电路的功率
05.11.2019
课件
解:
Up
Ul 38022V 0 33
设 U AN22 00 Z3j45 5.1 3
U U C BN N 2222 001122 00IA44 IAN53U .1ZAAN5225 03.01
清华大学电工技术课件79 共136页
R1
直流输入电阻=?
ui
R2 uo 交流输入电阻=?
C
直流输入电阻=?
ui
R uo
交流输入阻抗=?
Zi
R
j1
C
输入电阻的求法:加压求流法
(1)将网络中的独立源去除(恒压源短路,恒 流源开路),受控源保留;
(2)输入端加电压ui,求输入电流ii
(3)输入电阻Ri= ui /ii
例3:用加压求流法求输入电阻
U1 VA 40 U1 1.02 V U ABO
求短路电流:
A
I1 R1
I 2 R2
+
IAB +
U1 –
I 40I1
U2 –
B
I AB
I1 40I1
I2
U1 R1
40 U1 R1
U2 R2
(有源或无源)
uo 输出
输出电阻—从输出端看进去的等效电阻,也就是从 输出端看进去的有源二端网络的戴维南
等效电阻
求含有受控源的二端网络的输出电阻的方法:
法1:从输出端加压求流法(令网络中的恒压源、恒 流源(包括输入信号ui))为0,但保留受控源)
输入端
网络
(有源或无源)
i u 输出端
u Ro i
0
Im
(
1 k
cosk
t
)
0
0 2Im
k
K为偶数 K为奇数
Ckm 2
2
i(t) cosktd (t)
0
2Im
1 k
sin
kt
电工技术电子技术清华28共37页
Iav1
USC
RL
U SC ic1
RLHale Waihona Puke USC1 =USC2 =USC
两个电源提供的总功率为:
2 t
P EP E1P E22USC U R SLC2U R S 2 LC
14.04.2020
电工电子技术
效率为:
US2C
Pomax 2RL
PE
2US2C
4
78.5%
RL
14.04.2020
电工电子技术
–+
14.04.2020
输入信号负电半工电周子技,术 T2导通,T1截止
11.3.3 互补对称功率放大电路
互补对称:电路中采用两个晶体管:NPN、 PNP各一支;两管特性一致。 对称电源:+USC,-USC 组成互补对称式射极输出器
+USC
T1 NPN型
ui
14.04.2020
PNP型iL
RL
uo
ui
U SC 2
时,T1截止、 T2导通。 T1
11.3.1 概述
功率放大器的作用: 用作放大电路的输出级,以驱 动执行机构。如使扬声器发声、继电器动作、 仪表 指针偏转等。
例: 扩音系统
14.04.2020
信
电
功
号
压
率
提
放
放
取
大
大
电工电子技术
分析功放电路应注意的问题
(1) 功放电路中电流、电压要求都比较大, 必须注意电路参数不能超过晶体管的极 限值: ICM 、UCEM 、 PCM 。
Ic ICM
PCM
14.04.2020
uce UCEM
电工技术电子技术-清华-23 (2)
iB
T4
T °C
R2 IE4
恒定
精品课件IE3
uBE UBE4减小
恒流源的作用
恒流源相当于阻值很大的电阻。 恒流源不影响差模放大倍数。 恒流源使共模放大倍数减小,从而增 加共模抑制比。理想的恒流源相当于 阻值为无穷大的电阻,所以共模抑制 比无穷大。
精品课件
11.2.5 差放电路的几种接
i1
IE RE
IE具有恒 流特性
用恒流源代
u i2
替RE ,可使
电路进一步
-UEE (-15V)
改善
(2) RE对共模信号有抑制作用(原理同上,即由 于RE的负反馈作用,使IE基本不变)
(3) RE对差模信号相当于开路
ui1 =- ui2 ,设ui1 ,ui2 ib1 ,ib2 ie1
,ie2
uC = ui1 + ui2
2
ud
=
ui1 - ui2 2
总输出: uo= uoC + uod = ACuC + Ad(2ud) Ad(2ud)
= Ad(2
ui1
- ui2 2
精品)=课件Ad
(ui1
-
ui2)
放大倍数
+UCC(+15V)
R
反相输入端
C
u o
R C
uo= uC1 - uC2
u-
u i1
uo=共0模(电理压想放化大)。倍但数因AC两精=品侧课件u不uio1完全对(称很,小,u<o1)0
4.差模电压放大倍数Ad
+UCC
R 1
R C
uC1
u o
uC2
清华大学电工技术与电子技术课件_图文
17.5.1 GAL16V8 GAL I/O module End Title Equations Pin Istype Test_vectors Truth_table When then Else If then else End I/O A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 Y 0 0 0 1 0 1 1 1 N 1 1 1 0 1 0 0 0 20 11 VCC I/O7 I/O6 I/O5 I/O4 I/O3 I/O2 I/O1 I/O0 I9/OE GAL16V8 I0/CLK I1 I2 I3 I4 I5 I6 I7 I8 GND 1 10 2-9 …… …… …… …… …… …… (17-62 Y N AB BC CA A B B C AC (17-63 Module majority_voter; A,B,C pin 1,2,3; Y,N, pin 11,12; Equations Y=A&B#B&C#C&A;N=A!&B!#B!&C!#C!&A!; Test_vectors ([A,B,C]>[Y,N] [0,0,0]->[0,1]; [0,0,1]->[0,1]; [0,1,0]->[0,1]; [0,1,1]->[1,0]; [1,0,0]->[0,1]; [1,0,1]->[1,0]; [1,1,0]->[1,0]; [1,1,1]->[1,1];End majority_voters 17.6 VHDL 17.6.1 VHDL VHDL (17-64 (17-65 1. Module < > < > Module < > < > • entity is [generic port [signal] [signal] …… ; end ; architecture begin end “[ ]” (17-66 VHDL work entity is std ;] : : ;] • IEEE VHDL[generic port [signal] : numeric_bit numeric_std [signal] : std_logic_1164 … VHDL … ; end entity ; is library architecture use . . All begin std_logic_1164 of library IEEE of is useIEEE.std_logic_1164.all • EDA end architecture (17-672. In Module < > < > Out Inout entity is [generic port [signal] [signal] …… ; end entity ; architecture begin end arc hitecture of3. • • ;] : : Buffer Linkage std_logic isstd_logic_vectors(m downto n time integer constant: …… (17-68 (17-69 Module < > < > • entity is [generic port [signal] VHDL [signal] …… ; end entity ; architecture begin end architecture of ;] : : is VHDL Module < > < > 17.6.2 VHDL entity is [generic ;] not and or nand nor xor xnor port [signal] : = /= < > <= >= [signal] : & …… ; end entity ; x(3 downto 2 <= architecture begin end architecture of is + * / ** mod rem abs • • • x(1 & x(0; (17-70 (17-71 17.4.1 1. := <= <= after 1 else 2 else n else VHDL <= 1 when 2 when …… n when with select <= 1 when 2 when 2, n when others; 1, …… library IEEE use IEEE.std_logic_1164.all --************************************ entity and2 is generic (rised : time : = 1ns falld : time : =1ns; port (a1 : in std_logic; a2 : in std_logic; f : out std_logic; end and2; --************************************ architecture behavior of and2 is begin f<=a1 and a2 after 5ns; end behavior; (17-72 (17-7317.4.2 VHDL 2. component port ( : …… : end component ; ; ; Library IEEE; use IEEE.std_logic_1164.all; --******************************************** entity majority_voter3 is port (SW : in std_logic_vector(3 downto 1; L: out std_logic_vector(2 downto 1; --*****L1:pass(green LED L2:fail(red LED end majority_voter3; --******************************************** architecture behavior ofmajority_voter3 is begin with SW select L <= "10" when "011", "10" when "101", "10" when "110", "10" when "111", "01" when others; end behavior; (17-74 17.5.3 a b c L1 L2 L3 carry sum (17-75 library IEEE use IEEE_std_logic_1164.all --***************************** --half_adder entity half_adder is port(a,b: instd_logic; s, c0: out std_logic; end half_adder; architecture h_adder of half_adder is signal c,d : std_logic; begin c<=a or b; d<=a nand b; c0<=not d; s<=c and d; end h_adder; --*************************** (17-76 --full_adder entity full_adder is port (x,y,cin : in std_logic; sum, carry : out std_logic; end entity full_adder; architecture struct offull_adder is component half_adder port ( a,b: in std_logic; s, c0 : out std_logic; end component half_adder; signal L1,L2,L3: std_logic; begin P1: half_adder portmap(x,y,L1,L2; P2: half_adder port map(L1,cin,sum,L3; carry<= L2 or L3; end architecture struct; (17-77 3. Process process process ( begin end process; if elsif …… elsif else n+1 end if; n then n If 1 then 1 2 then 2 case case is when 1 => when 2 => …… when others => end case; (17-78 (17-7917.4.4 if case if sel=’1’ then c<=b; else c<=a; end if; 17.4.5 VHDL D CLK Q a MUX b sel c case sel is when 0 => c<=a; when 1=> c<=b; end case; CLK CLK CLK=1 library IEEE; use IEEE.std_logic_1164.all; --****************************** entity ff is port (CLK: in std_logic; D: in std_logic; Q: out std_logic; end ff; --****************************** architechure bhv of ff is singal Q1 : std_logic; begin process(CLK begin if CLK’event and CLK=’1’ then Q1 <=D; end if; end process; Q<=Q1; end bhv; (17-81 (17-80 * for loop [ in :] for loop ; :]; [ while loop :] while :]; loop ; end loop [ end loop [ * wait wait until a=1; --a wait on a,b; -wait a b ‘1’ wait a wait for 10ns -10ns wait until a=’1’ for 10ns; --a a 10ns (17-82。
清华大学电工技术电子技术课件1
P = I UR4 =(-0.2) × 11.2= - 2.24W
负号表示输出功率
2020/1/30
电工电子技术
PIS= - 33.6W
讨论题
+ 10V -
I
2 2A
? 哪 I = 10 = 5 A
个
2
? 答
案
I = 10 + 2 = 7 A 2
? 对 I = 10 4 = 3 A 2
2020/1/30
2020/1/30
IS = US / RS
RS ´ = RS
电工电子技术
(2) 注意转换前后 US 与 Is 的方向
a
I
RS
+
US -
b
I'
a
Is
RS'
b
RS -
US +
2020/1/30
a I
Is
b
电工电子技术
I' a
RS' b
(3) 恒压源和恒流源不能等效互换
a
I'
I +
US -
Is
b
a Uab' b
当R1 、R2 同时接入时: I=10A
电工电子技术
电压源模型 由理想电压源串联一个电阻组成
I
伏安特性
U
RS
+
U
RL US
- US
I
RS称为电源的内阻或输出电阻
U = US – IRS
RS越大 斜率越大
当RS = 0 时,电压源模型就变成恒压源模型
2020/1/30
电工电子技术
2. 电流源
理想电流源 (恒流源)
电工技术电子技术-清华-445页PPT
Z210245
UO
10 10
245 10060 245
复数符号法
19010060
100 25.03.2020 30 uo1课件02s 0i1 n0 (t 0 30 )0 V 22
2I
R2
X
2 L
sin(
t
)
2 I Z sin( t )
2U sin( t ) u领先i 角
U I Z 其中: 25.03.2020
Z
R2
课件
XL2
tg1 XL
R4
(2) R-L串联电路有效值关系
i 2Isint
u 2Usint()
tg1 XL
R
U I Z Z R2 XL2 Z称为复数阻抗的模
当 XL XC时, 0表示 u 、i同相 --电路呈电阻性25. Nhomakorabea3.2020
课件
15
R-L-C串联交流电路——相量图
I
R UR
UL
UL UC
U
L UL
C
UC
UC
相量表达式:
U U RU LU C
U
UR
I
先画出参 考相量
25.03.2020
课件
16
R-L-C串联交流电路中的 复数形式欧姆定律 I
一、 简单串并联电路
i
I
ui
u Z1 o
Z2
Ui Z1 Uo
Z2
UOZ1Z2Z2Ui uo
25.03.2020
课件
21
UO Z1Z2Z2Ui
Ui 100 60 V Z 1 j 20 Z 2 10 j10
1000 rad / s
Z 1 Z 2 1 0 j1 0 12 0 4 5
电工技术电子技术清华2837页PPT
11.3.1 概述
功率放大器的作用: 用作放大电路的输出级,以驱 动执行机构。如使扬声器发声、继电器动作、 仪表 指针偏转等。
例: 扩音系统
01.10.2019
信
电功
号
压率
提
放放
取
大大
电工电子技术
的效率()。
Pomax 100%
PE
01.10.2019
Pomax : 负载上得到的交流信号功率。 PE : 电源提供的直流功率。
电工电子技术
问题讨论:
射极输出器输出电阻低,带负载 能力强,可以用做功率放大器吗
答: 不合适,因为效率太低 。
Ic USC /RE
USC Rb
ib Q
ui
RE
馈);
(6) 共射放大级(T4); (7) 校正环节(C5、R4); (8) UBE倍增电路(T6、R2、R3); (9) 调整输出级工作点元件(Re7、Rc8、Re9、Re10)。
01.10.2019
电工电子技术
实用的OCL准互补功放电路:
Rc1
ui T1
Rb1
反馈级 R1
T2 Rf
Rb2 C1
R1 IB
B1 U
BE
合理选择R1、R2大小,B1、 B2间便可得到 UBE 任意倍数的 电压。
R2 -
B2
01.10.2019
电工电子技术
3. 电路中增加复合管
增加复合管的目的:扩大电流的驱动能力。
c ic
e
b ib T1 T2
b ib T1 T2
电工技术电子技术-清华-38-39
停止键为常闭 ST X1 OR Y0 AN X0 OT Y0 ED
停止键为常开 ST X1 OR Y0 AN/ X0 OT Y0 ED
30
编程中应注意的几个问题 一、用电路变换简化程序(减少指令的条数)
X0 X1 Y0
Y0 (ED)
X1
X0
Y0
Y0 (ED)
31
二、逻辑关系应尽量清楚(避免左轻右重) X2 X3 X4 Y0 X5 X6 X7 X8 X9
SB1 X0
SBF X1
SBR X2
COM
24V
Y0 Y1
COM
KMF KMR
FR ~ 220V
PLC的端子接线方式又决定编程语言
29
输入按键的接线方式决定输入的编程语句
梯形图: X1
Y0
电机的起动停止控制
X0 Y0
(ED)
SB1 停止
X0
起动 SB2 X1
24V COM
Y0 COM
220V ~
KM
也可以是安装了专门的编程通讯软件的个人计 算机。
用户可以通过键盘输入和调试程序;另 外在运行时,还可以对整个控制过程进行监控。
PC FP PROGRAMMER
ST AN OR OT FN/P X•XW Y•YW R•RW L•LW FL NOT STK TM CT (BIN) DTd/L IX/IY T•SV C•EV K/H
(ED)
X2 X3 X4 Y0
X2 X5 X6 X7 X2 X5 X6 X8 X9
(ED)
ST X2 AN X3 AN X4 ST X2 AN X5 AN X6 AN X7
ST X2 AN X5 AN X6 AN X8 AN X9 ORS OT Y0 ED
电工技术电子技术-清华-11
4.连接
自学
直流电机有四个出线端,电枢绕组、励磁绕组 各两个,可通过标出的字符和绕组电阻的大小 区别。
调电枢电压U,n0
变化,斜率不变,
所以调速特性是一
组平行曲线。
n n0 n0' n0"
电 压 降 低
T
精品课件
2.改变电枢电压调速的特点
(1)工作时电枢电压一定,电压调节时,不允许超
过UN,,而 n U,所以调速只能向下调。
(2)可得到平滑、无级调速。
(3)调速幅度较大。
改变电枢电压调速方案举例:
第11讲
第七章
直流电动机 单相异步电动机
步进电机
精品课件
海南风光
第七章 电动 §7.2 直流电机动机
7.2.1 概述
7.2.2 工作原理 7.2.3 电枢电动势及电压平衡关系 7.2.4 电磁转矩 7.2.5 机械特性 7.2.6 直流电动机的调速 7.2.7 直流电动机的使用和额定值 §7.3单相异步电动机 §7.4步进电机
nn0n 其中
n0
U
K
Φ
E
,n
KRf If n ,但在额定情况下, 已 近饱和,If 再加大,对 影响不大,所以这种增加
磁通的办法一般不用。
• Rf If n ,减弱磁通是常用的调速方
法。
概念:改变磁通调速的方法—
减小磁通,n只能上调。 精品课件
T
nn0n 其中
n0
U
K
Φ
E
,n
KT
Ra
KEΦ2
T
n0: 理想空载转速,即T=0时的转速。(实际工作 时,由于有空载损耗,电机的T不会为0。)
n
模拟电子技术基础清华大学全套完整版PPT课件
电路中有40亿个晶体管。有科学家预测,集成度还将按10倍
/6年的速度增长,到2015或2020年达到饱和。
学习电子技术方面的课程需时刻关注电子技术的发展!
华成英 hchya@
值得纪念的几位科学家!
第一只晶体管的发明者
(by John Bardeen , William Schockley and Walter Brattain
近似分析要“合理”。 抓主要矛盾和矛盾的主要方面。 电子电路归根结底是电路。不同条件下构造不同模型。
2. 实践性
常用电子仪器的使用方法 电子电路的测试方法 故障的判断与排除方法 EDA软件的应用方法
华成英 hchya@
五、如何学习这门课程
1. 掌握基本概念、基本电路和基本分析方法
子的浓度及其梯度均有变化,也有电荷的积累和释放
的过程,其等效电容称为扩散电容Cd。
结电容: Cj Cb Cd
结电容不是常量!若PN结外加电压频率高到一定程 度,则失去单向导电性!
清华大学 华成英 hchya@
华成英 hchya@
问题
物质因浓度差而产生的运动称为扩散运动。 气体、液体、固体均有之。
P区空穴 浓度远高 于N区。
N区自由电 子浓度远高
于P区。
扩散运动
扩散运动使靠近接触面P区的空穴浓度降低、靠近接 触面N区的自由电子浓度降低,产生内电场。
华成英 hchya@
PN 结的形成
由于扩散运动使P区与N区的交界面缺少多数载流子,形成 内电场,从而阻止扩散运动的进行。内电场使空穴从N区向P区、 自由电子从P区向N 区运动。
以及将所学知识用于本专业的能力。
注重培养系统的观念、工程的观念、科技进 步的观念和创新意识,学习科学的思维方法。提 倡快乐学习!
电工技术电子技术-清华-30
Y=A B= AB + AB =A •A • B • B • A • B
A
1
&
≥1
B
1
&
异或门可以用4个与非门实现
& A
& B
&
&
Y
例3
将 Y=ABC+ABC+ABC+ABC+ABC
化简为最简逻辑代数式。
Y=ABC+ABC+ABC+ABC+ABC
=AB(C+C)+ABC+AB(C+C) =AB+ABC+AB =(A+A)B+ABC =B+BAC =B+AC
逻辑门电路
触发器
基本数字电路
组合逻辑电路 时序电路(寄存器、计数器、脉冲发生器、脉冲整形电路)
A/D转换器、D/A转换器
引言
13.2 基本逻辑关系
基本逻辑关系 与 ( and ) 或 (or ) 非 ( not )
一、“与”逻辑关系和与门 1.与逻辑关系
A
B
U
Y
规定: 开关合为逻辑“1” 开关断为逻辑“0” 灯亮为逻辑“1” 灯灭为逻辑“0”
R
U
A
Y
真值表 AY 01 10
特点: 1则0, 0则1
2、非门电路--三极管反相器
+Ec
Rc
R1
VA
VO
基本逻辑关系
输入输出电平对应表 VA VO 0 1 (三极管截止) 1 0 (三极管饱和)
非门表示符号:
A
1
Y
三极管反相器电路实现“非”逻辑关系。
模拟电子技术基础清华大学全套完整版PPT课件
三、电子信息系统的组成
传感器 接收器
隔离、滤 波、放大
运算、转 换、比较
功放
执行机构
信号的 提取
信号的 预处理
信号的 加工
信号的驱 动与执行
模拟电子电路
A/D转换
模拟电子系统 数字电子电路(系统)
2021/5/26
计算机或其 它数字系统
D/A转换
模拟-数字混合电子电路
四、模拟电子技术基础课的特点
自由电子与空穴相碰同时消失,称为复合。动态平衡
一定温度下,自由电子与空穴对的浓度一定;温度升 高,热运动加剧,挣脱共价键的电子增多,自由电子与空 穴对的浓度加大。
半导体--硅(Si)、锗(Ge),均为四价元素,它们 原子的最外层电子受原子核的束缚力介于导体与绝缘体之间。
本征半导体是纯净的晶体结构的半导体。
2021/5/26
无杂质 稳定的结构
2、本征半导体的结构
共价键
由于热运动,具有足够能量 的价电子挣脱共价键的束缚
而成为自由电子
自由电子的产生使共价键中 留有一个空位置,称为空穴
第一个集成电路及其发明者 ( Jack Kilby from TI ) 1958年9月12日,在德州仪器 公司的实验室里,实现了把电子器件 集成在一块半导体材料上的构想。42 年以后, 2000年获诺贝尔物理学奖。 “为现代信息技术奠定了基础”。
2021/5/26
二、模拟信号与模拟电路
1. 电子电路中信号的分类 “1”的倍数
2021/5/26
一、本征半导体
1、什么是半导体?什么是本征半导体?
导电性介于导体与绝缘体之间的物质称为半导体。
导体--铁、铝、铜等金属元素等低价元素,其最外层 电子在外电场作用下很容易产生定向移动,形成电流。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
i
u R =ui
阻
const
伏电-工安电子技特术 性
2.电感 L:单位电流产生的磁链
(单位:H, mH, H)
i u
磁通
L = N
i
线圈 匝数
04.12.2020
电工电子技术
电感中电流、电压的关系
+
u
i
–
e=Nd=Ldi
dt dt
e+
–
L = N
u = e = L di dt
i
当 i = I (直流) 时, di = 0
若 P 0 输出功率(起电源作用)
电源的功率可能为正(吸收功率) ,也可能为负(输出功率)
04.12.2020
电工电子技术
电源的功率
aI
+
U
b
-
P = UI
电压电流正方向一致
aI
+
U
b
-
P = –UI
电压电流正方向不一致
04.12.2020
电工电子技术
含源网络的功率
I
+
U
-
含源 网络
P = UI
04.12.2020
电工电子技术
规定正方向的情况下欧姆定律的写法
I
a
I与U的方向一致
U
R
b
U = IR
I
a
U b
04.12.2020
I与U的方向相反
R
U = – IR
电工电子技术
规定正方向的情况下电功率的写法
功率的概念:设电路任意两点间的电压为 U ,流入此
部分电路的电流为 I, 则这部分电路消耗的功率为:
实际正方向 正电荷移动的方向
电源驱动正电荷的 方向
(低 电 位 高 电 位 ) 电位降落的方向
(高 电 位 低 电 位 )
04.12.2020
电工电子技术
物理量正方向的表示方法
I
a
电 池
灯 泡
+ EU
_
+
R
Uab
_
b
电压
04.12.2020
正负号 箭头 双下标
a + U_ ab b
电流:从高电位 指向低电位。
U
R2
C
U为直流电压时, 以上电路等效为
R1 U R2
04.12.2020
电工电子技术
(二) 有源元件
主要讲有源元件中的两种电源:电压源和电流源。
1.电压源
理想电压源 (恒压源)
Ia
Uab 伏安特性
+
US _
Uab
US
b
I
特点:(1)无论负载电阻如何变化,输出电 压不变
(2)电源中的电流由外电路决定,输出功率
第1讲
第1章 电路的基本概念、定律和
分析方法
(电路元件 电压源 电流源 基尔霍夫定律 支路电流法)
04.12.2020
电工电子技术
欢迎学习《电工技术》
1. 掌握电路的基本原理及分析方法,
为学习电子技术打下基础。
2. 学习交流电路的基本原理,掌握正确及
安全用电方法,培养工作技能。
3. 学习电动机的基本原理和控制技术。
u=0
dt
04.1所2.202以0 ,在直流电路中电电工感电子相技术当于短路.
3.电容 C 单位电压下存储的电荷
(单位:F, F, pF)
i
++ ++ +q
u
- - - - -q
C = qu
电容符号
04.12.2020
无极性 电工电子技术
+ _
有极性
电容上电流、电压的关系
i
u
C
C = qu
i = dq=C du dt dt
电压电流正方向一致
I
+
U
-
含源 网络
P = –UI
电压电流正方向不一致
04.12.2020
电工电子技术
结论
在进行功率计算时,如果假设 U、I 正方向一致。 当 计算的 P > 0 时, 则说明 U、I 的实际
方向一致,此部分电路消耗电功率,为负载。
当计算的 P < 0 时, 则说明 U、I 的实际方
4. 通过实验, 学习各种实验室常规电子仪器
的使用方法, 锻炼电工方面的动手能力。
04.12.2020
电工电子技术
第一章 电路的基本概念、定律和分析方法
§1.1 电路的基本概念与定律 1.1.1 电路中的物理量 1.1.2 电路元件 1.1.3 基尔霍夫定律
§1.2 电路的分析方法 1.2.1 支路电流法
04.12.2020
电工电子技术
04.12.2020
本课作业
1-1(C) 1-2(a)(b) 1-6 1-8(a) 1-10 用电源模型的等效互换原理 1-12 用支路电流法 英1题
电工电子技术
电流
1.1.1 电路中的物理量 电压
电动势
电 池
04.12.2020
ห้องสมุดไป่ตู้
I
灯 泡
+ E RU
_
电源
电工电子技术
当 u=U(直流) 时, du = 0
dt
i =0
所以,在直流电路中电容相当于断路(开路)
04.12.2020
电工电子技术
无源元件小结
理想元件的特性 (u 与 i 的关系)
R
L
u=Ri
u = L di dt
C
i = C du dt
04.12.2020
电工电子技术
注意 L、C 在不同电路中的作用
R1 L
的实际方向,电路如何求解?
电流方向 AB?
U1
A IR B R
电流方向 BA?
U2
04.12.2020
电工电子技术
解决方法
(1) 在解题前先设定一个正方向,作为参考方向;
(2) 根据电路的定律、定理,列出物理量间相互关 系的代数表达式;
(3) 根据计算结果确定实际方向: 若计算结果为正,则实际方向与假设方向一致; 若计算结果为负,则实际方向与假设方向相反。
负载
电路中物理量的正方向
物理量的正方向: 实际正方向
假设正方向
实际正方向: 物理中对电量规定的方向。
假设正方向(参考正方向): 在分析计算时,对电量人为规定的方向。
04.12.2020
电工电子技术
物理量的实际正方向
物理量
单位
电流 I A、kA、mA、
μA
电动势 E V、kV、mV、
μV
电压 U V、kV、mV、 μV
I
a
U
R
P =U I
b
电压电流正方向一致
如果U I方向不一 致写法如何?
04.12.2020
电工电子技术
规定正方向的情况下电功率的写法
aI
U
R
b
电压电流正方向相反
P = –UI
功率有正负?
04.12.2020
电工电子技术
功率有正负
若 P 0 吸收功率或消耗功率(起负载作用)
电阻消耗功率肯定为正
向相反,此部分电路发出电功率,为电源。
所以,从 P 的 + 或 - 可以区分器件的性质,
或是电源,或是负载。
04.12.2020
电工电子技术
1.1.2 电路元件
(一) 无源元件 1. 电阻 R (常用单位:、k、M )
线
i性
电
uR 阻
i
R =ui
u = const
04.12.2020
非 线 性 电
a
Uabb
I
Uab(高电位在前, + R -
电工电低子技电术 位在后)
物理量正方向的表示方法
I
+ U
_
a
+ R Uab
_
b
I a
U
R
Uab
b
电压的正方向箭头和正负号是等价的, 只用其中之一.
04.12.2020
电工电子技术
电路分析中的假设正方向(参考方向)
问题的提出:在复杂电路中难于判断元件中物理量