基于FPGA的实用多功能信号发生器的设计与制作

合集下载

基于FPGA的信号发生器的设计与实现

基于FPGA的信号发生器的设计与实现

基于FPGA的信号发生器的设计与实现作者:臧谱阳王正斌来源:《电脑知识与技术》2020年第27期摘要:该文详细介绍了一种通过DDS数字合成器技术,来实现一种频率,幅度,相位可调制的高精度信号发生器。

在FPGA中设计了串口模块和相应的解析模块向DDS发送指令,使其通过读取ROM内的波形数据存储器的数据进而实现对频率和相位的控制。

同时可以根据需要自行更换ROM内存储的波形数据,能够产生正弦波,方波,锯齿波和任意波形信号。

关键词:FPGA;DDS;信号发生器;串口;ROM中图分类号:TP311 文献标识码:A文章编号:1009-3044(2020)27-0220-02开放科学(资源服务)标识码(OSID):1 概述本系统以FPGA为核心控制器,相比于STM32系列单片机的顺序指令队列,FPGA强大的并行能力在处理模拟信号和拓展接口上效率会更高,读写速度也更快。

相比于传统C语言通过FLASH读取数组信息来获取波形数据,本系统通过读取片内ROM并结合DDS进行波形数据采集,设计方法更加简单灵活,波形的显示也会更流畅。

可以输出直流、交流等信号。

2 DDS技术原理与分析DDS(Direct Digital Synthesizer)是一种新型的频率合成技术,其主要组成部分有相位累加器,相位调制器,波形数据表和D/A转换器。

其广泛运用于通信领域,特点是波形选择范围大,可供选择带宽的范围大,可控制时间长,精度高等[1-2]。

对于信号的相位、频率、幅值均可以通过自制的波形编码生成,自由度大。

其基本结构见图1所示。

在每个时钟的上升沿时,加法器会将默认的频率控制字与同步寄存器中的相位值累加,得到的数值是由加法器和寄存器的位数决定的。

累加的值接着在第二个时钟上升沿时反馈至累加寄存器的输入端,重复与设定的频率控制字相加[3-5]。

这样,在每一个时钟周期,对设定的频率控制字不断进行线性累加,这时的累加值输出的数据就是最终处理信号的相位值,也是波形存储器的采样地址。

基于FPGA与单片机的多功能信号发生器的设计与实现

基于FPGA与单片机的多功能信号发生器的设计与实现
宗接华, 赵春红, 王
( 江西工业工程Βιβλιοθήκη 职业技术 学院, 江西超
萍乡 3 3 7 0 0 0 )
摘 要: 文章设计的多功能信号发生器> X F P G A芯片为系统核心, 利用单片机 进行系统控制, 采用液晶屏作为显示界 面, 设计的
信号发生器可以产生正弦波、 三角波、 矩形波及任意波形等信号, 并且 信号具有精度高、 频带宽、 稳定度高等特 占 ’ 。
关键词 : 信号发生器; F P G A; 单片机 当前 的频率 、 波 形类 型及输入频 率、 预设波 形类 型显示 出 遥 感遥测等 众多场合 都有着广泛 的应用 , 是一种 为电子测 来 。 单片机要根据 输入 的频率值 , 计算 出相应 的频率控 制 量 工作提 供电信号的设备, 和示波器、 电压表、 频率计等仪 字, 计算的公式如下所示 : 器一样是 最基本也是应 用最广泛 的电子 仪器之一_ 】 ] 。 随着 M :盟 近 年来电子技术 的迅 猛发展 , 电子测量工作对 于包含 波形 { c 发生器在 内的电子测量 仪器 提 出了越来越 高的要求 。 为适 应 现代电子技 术的不断发展和市场需求 , 研究制作高性能 其中, 是 输出频 率 , 为F P G A,  ̄ u , 片的时钟信号, 频 率 的多功能波形发生器 十分必要。 为1 6 0 M, 相位累加器的宽度n 取值为4 0 。 1 方案比较及选择 矩阵式 键盘 l 信号发 生器主要 可 以采用两 种方案来 实现 。 一种 方案 电 源 模 块卜 _ 荜 片 机 控 制 轰 统 — 一 1 6 0 2 拉 示 是 以AD公司的直接 数字 频率合成 芯片为核心 , 通 过单片 I l 机 进 行控 制, 从而输 出信号波 形。 另一种 方案 是以现场可 F P G A核心 系统 — O A转换及放 犬 电路 编 程门阵列 ( F i e l d P r o g r a mma b l e Ga t e Ar r a y , F P G A) 芯片为核心, 采用直接 数字式频率合成器 ( Di r e c t Di g i t a l 图1 系统方块 S y n t h e s i z e r , DD S ) 技术 , 以单片机芯片为控 制器, 从而实 现波形 输出。 将 以上两 种方案 进行 比较 , 方案一 的特点是 3 . 2 基于 F P G A 的D DS 电路 频 率范 围宽、 频率 稳定 度高 、 编程 工作量较 少, 但 是只能 DDS 以高精度 时钟信号作为参 考, 经 由相位累加 器及 在正弦查找表 中找到相应的幅值信息, 通 过D / A 变 输 出正弦波和方 波且成本 较高; 方案二 的特点是频率范 围 寄存器, 再选用 低通滤 波器 , 最后输 出正 宽、 频率稳定度 较高, 不仅可输 出正弦波和 方波这两 种常 换 得到相应 的数字 信号, 用波形 , 同时还可以输 出三角波、 锯齿波及任意波形 , 且成 弦信号 。 本设计选用F P G A芯片E P 4 C 6 E 2 2 C 8 作为系 统的 核心, 该芯片的功能分成3 个模 块 : 控制逻 辑模块 、 I P 核模 本 较低 。 故本设计采用方案二来实现 。 2 系统总体设计 块及信号生成模块 。 控 制逻辑模 块主 要实现 与单片机 的通 信, 接 受单片机 本设 计 以F P G A芯片E P 4 C6 E 2 2 C8 为 核心,以单片机 传送 过 来 的4 8 位频 率控 制字 ,同时为 了增 强 系统 的稳 定 A T 8 9 S 5 1 为控制器, 通过矩 阵式键 盘进行输入输 出控制 , 在本模块采取了相应措施解决了亚稳态问题。 液 晶屏1 6 0 2 为显示界面 , 可实现 正弦波、 方 波、 三角波、 锯 性 , 齿波 及任 意波等波 形的输出, 如 图1 所 示。 本设计输 出频率 为了提高系统 的设计效率和稳定性 , 本系统选用Al t e r a P 核, 分别来产生正弦信号、 三角波信号 以及 范围为0 . 1 Hz ~ 1 0 MHz , 频率分辨率为0 . 1 Hz , 频率稳定度 公司定制好的I 任意波形信号。 方波信号通过 生产一个比较器来产生。 较高。 信 号生 产模 块是 F P G A芯片的顶 层模 块 , 在 编写代码 3 系统主要模块 电路 3 . 1单片机控制电路 的过程 中, 需将 以上 两个 模 块 例化 , 同时 该模 块 有 两 个 单片机芯片在 整个系统 中起 到控制协调 作用。 该 电路 引脚 与单 片机相 连 , 由单 片机 来控 制 最终 输 出的数 字 波 包 ̄ ' 4 X 4 矩 阵式键盘 , 通过键盘可 以输入各种频率值 , 同时 形信号。 - 3 D / A 车 等 换 电路 及 放 大电路 通 过键盘 中的控制键可 以实现整个系统的复位、 频率数 据 3 为了将F P G A 输 出的数字信号转换为模拟信号, 本 设计 的发送等操作。 单片机外围连接 了1 6 0 2 液 晶显示屏, 可以将

基于FPGA的多功能波形发生器设计研究

基于FPGA的多功能波形发生器设计研究

基于FPGA的多功能波形发生器设计研究设计以VHDL为开发语言,对FPGA芯片进行编程设计,并对输出数据进行数模转换,以完成一个简单的周期可调的多波形发生器。

标签:FPGA;VHDL;多波形发生器1 引言在教学实验和教学研究中,信号发生器作为提供测试用电信号的仪器必不可少。

目前通用的信号发生器一般只能输出常用的波形信号,无法满足教学实验和教学研究的需要。

基于可编程逻辑器件FPGA的多波形信号发生器,采用VHDL 编程实现,整个系统除晶体振荡器和D/A转换外,全部集成在一片美国Altera 公司生产的EPF10K10LC84-3的芯片上,FPGA输出的8位数据经DAC0832模数转换器后接示波器观察其波形,通过改变控制信号线的高低电平实现波行的转换。

它除了输出常用的正弦波、三角波、方波以外,还可以输出以上两种波形任意线性组合波形。

该信号发生器输出波形的频率可数控选择,100HZ~1KHZ,且可手动调节频率,频率步进间隔为100HZ。

2 各模块电路设计2.1 总体设计FPGA器件内部结构多功能波形发生器由初值模块、分频模块、方波产生模块、三角波产生模块、正弦波产生模块、波形输出控制模块等部分组成,如图1所示。

调频模块TIAOPIN的主要目的是使频率调节能够手动执行。

通过手动输入端口RESETY输入若干脉冲,可达到对周期可调的多波形发生器循环选择输出频率的目的。

初值模块CHUZHI提供初值,供下一个模块FANA分频时用,通过不同的初值,在波形产生模块得到不同的工作频率,从而实现调节波形频率的目的。

由于输入的晶振频率是5MHz。

而要求得到的波形的频率为100Hz~1KHz,频率步进为100Hz。

而又由于设计的波形模块都是64个时钟为一个周期。

因此要求波形模块得到的频率是6.4KHz~64KHz。

由5M/64KHz≈78,而又由频率输出分高电平和低电平。

这样78/2≈39,这样可以得到输入状态为1001时,Q的输出参数为39;分频模块FANA的功能是将前一模块CHUZHI送来的初值对时钟进行分频,得到不同的工作频率,从而调节波形频率;方波产生模块ZENG的功能是产生方波;三角波产生模块DELTA的功能是产生三角波;正弦波产生模块SIN的功能产生正弦波;波形输出控制模块CHPRO31设置了三个按键,分别控制三种波形任意一种,或任意两种波形的叠加。

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计1 引言电子产业瞬息万变,越来越多的设计开始以ASIC转向FPGA(现场可编程门阵列),FPGA正以各种电子产品的形式进入了我们日常生活的各个角落。

随着新一代FPGA芯片工艺和设计方法的进步及新的应用领域和市场需求的变化, EDA技术有了突飞猛进的发展,数字系统设计也有了革命性的变化。

芯片的复杂程度越来越高,人们对数万门乃至数百万门设计的需求也越来越多,采用硬件描述语言VHDL的设计方式就应运而生,设计工作从行为、功能级开始,并向着设计的高层次发展。

VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的FPGA器件中去,可以实现可编程的专用集成电路(ASIC)的设计。

在电子技术飞速发展的今天,信号发生器在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,或者电路实验和设备检测中具有十分广泛的用途。

基于FPGA的信号发生器的设计,以简单、廉价的元器件构筑,能够产生高精度的正弦波、方波、三角波、锯齿波,同时可以实现波形自由选择。

本设计以花费小、集成度高、性能稳定、使用方便为指导,在功能上力求完善实用,同时兼顾商业价值与应用价值的体现。

2 设计方案2.1 方案介绍正弦波和方波使用MegaWizard Plug-In Manager定制宏功能模块,然后在顶层文件中例化。

锯齿波和三角波采用VHDL语言编程的文本输入方式。

使用分频器将输入的高频脉冲降频得到各模块所需的低频脉冲,使用四选一数据选择器选择波形的输出。

对程序进行编译仿真,成功后下载文件到FPGA实验箱来测试。

通过FPGA软件扫描方式将波形数据读出传输给DAC0832,通过外接示波器显示波形输出。

基于FPGA的多功能信号发生器的设计

基于FPGA的多功能信号发生器的设计

电子电路设计与方案0 前言现场可编程门阵列具有运行速度快,修改灵活方便,并自带大量的输入输出端口等优点,通过FPGA实现该信号发生器的核心部件DDS模块,可以灵活地对信号发生器的参数进行调整,另外通过单片机的配合实现对其他外设电路的控制,实现信号发生器显示、参数调节等辅助的功能,通过数模转换电路和波形处理电路实现所需信号波形的输出。

本设计的主要指标为:(1)频率范围:0~1MHz,可通过键盘任意设置频率,步进1Hz。

(2)频率精度:±1%。

(3)幅值范围:±5V,可通过键盘任意设置幅度,步进100mV。

(4)幅值精度:±5%。

(5)用液晶显示所选波形名称,频率,幅值。

1 系统硬件结构该信号发生器主要是由FPGA构成其最小系统,通过编程实现信号发生器的主要功能部件数字频率合成功能模块,并对其信号进行控制和处理,使得输出波形更加平滑、符合要求。

当然为了使电路能够实现人机对话、参数设置等功能,并设计了由单片机和外围电路构成的控制电路部分,实现对显示电路、输入电路的控制。

本系统通过单片机来识别按键所输入的指令,并根据其该指令,产生输出波形的参数要求,并将该数据送入FPGA 的ROM中,再由FPGA完成DDS数据处理功能(频率控制、移相等),将波形数据送入D/A转换器,并将波形参数(如频率、幅值等)送入到显示电路中显示,最后通过低通滤波电路滤波使输出的波形平滑。

系统硬件结构如图1所示。

本设计未采用专用DDS芯片,而是通Verilog HDL语言编程在目标芯片上来实现信号源的主要功能,可以根据实际设计的要求来增删DDS的功能,具有灵活、方便等特点,同时该DDS模块中的参数及子模块根据所用目标器件稍加调整,完全可用于其他需要DDS技术的应用场合,有很好的通用性。

图1 系统硬件结构图2 单元电路的设计整个硬件系统以FPGA为核心,配合外围电路控制参数实现波形的模拟输出。

外围电路主要包括控制电路及信号处理电路两部分。

基于FPGA的多功能信号发生器设计与实现

基于FPGA的多功能信号发生器设计与实现

基于FPGA的多功能信号发生器设计与实现刘艳昌;左现刚;李国厚【摘要】针对传统采用单片机和DDS芯片设计信号发生器的方法具有可移植性差、硬件结构和编写DDS源程序复杂等问题,提出了基于FPGA的多功能信号发生器设计方法。

采用MATLAB/Simulink和DSP Builder对DDS系统模型进行建模和仿真,并用Signal Compiler工具对模型进行编译,产生Quartus I能够识别的VHDL源程序,并在Quartus I环境中生成硬件符号,最终将顶层文件编译、综合后下载到FPGA器件中,可产生频率、幅度相位均可调的基本波、AM调制波和数字调制波。

测试结果表明,该系统具有设计灵活、实现简单、参数易调整、可移植性好、输出波形性能稳定和精度高等优点。

【期刊名称】《制造业自动化》【年(卷),期】2014(000)020【总页数】6页(P100-104,108)【关键词】FPGA;多功能信号发生器;DDS;MATLAB/DSP Builder【作者】刘艳昌;左现刚;李国厚【作者单位】河南科技学院信息工程学院,新乡453003;河南科技学院信息工程学院,新乡453003;河南科技学院信息工程学院,新乡453003【正文语种】中文【中图分类】TN91;TP3350 引言信号发生器在工业、科技、教学等领域中已得到广泛应用,通常情况下使用较多的设计方案是利用FPGA、单片机等处理器芯片来控制专用信号发生芯片,这种设计方案与传统采用模拟分立器件来设计信号发生器的方法相比,具有信号频率更稳定、精度更高、信号参数易调节等优点,但存在电路设计复杂,成本较高等问题。

随着电子技术和EDA技术的快速发展和深入研究,DDS技术得到飞速发展[1],使得信号发生器的设计和实现更加容易和灵活。

DDS即直接频率合成器,与传统频率合成技术相比,具有频率分辨率高、频率切换速度快、频率改变时相位连续性保持不变和频率稳定度高等优点,因此极易实现信号的频率、相位和幅度控制。

基于FPGA的信号发生器的设计_课程设计

基于FPGA的信号发生器的设计_课程设计

郑州轻工业学院电子技术课程设计题目基于FPGA的信号发生器设计基于FPGA的函数发生器设计主要内容、基本要求、主要参考资料等:主要内容:要求学生使用硬件描述语言设计信号发生器的FPGA源程序,实现如下功能:设计智能信号发生器,要求实现正弦波、方波、三角波、递增、递减斜波和阶梯波六种波形。

基本要求:1、掌握FPGA 的程序设计方法。

2、掌握硬件描述语言语法。

3、程序设计完成后要求在软件中实现功能仿真。

主要参考资料:1、姜雪松,吴钰淳.VHDL设计实例与仿真.机械工业出版社, 2007.2、黄志伟.FPGA系统设计与实践[M].北京:电子工业出版社,2005.完成期限:2013.6.21—2013.6.25指导教师签名:课程负责人签名:2013年6月18日目录摘要 (I)1 题目分析 (1)2 方案选择 (2)2.1 波形函数发生方案对比选择 (2)2.2 波形函数输出控制方式选择 (2)3 系统细化框图 (4)4 各模块程序设计及仿真 (5)4.1 递增斜波模块 (5)4.2 递减斜波模块 (6)4.3 三角波模块 (7)4.4 阶梯波模块 (8)4.5 正弦波模块 (10)4.6 方波模块 (11)4.7 输出波形选择模块 (12)5 系统联调测试分析 (14)6 设计总结 (16)参考文献 (17)附录 (1)基于FPGA的函数发生器设计摘要随着基于CPLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机等领域的重要性日益突出。

作为一个学电子信息专业的学生,我们必须不断地去了解更多的新产品信息,这就更加要求我们对EDA有个全面的认识。

信号发生器在我们的日常中有很重要的应用,用VHDL语言去实现设计将会使我们对本学科知识可以更好地掌握。

函数发生器是一种多波形的信号源,它可以产生方波、三角波、锯齿波,甚至任意波形。

本设计是一个基于VHDL的采用自顶向下设计方法实现的信号发生器,该设计方法具有外围电路简单,程序修改灵活和调试容易等特点,并通过计算机仿真和实验证明了设计的正确性。

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计 以FPGA 芯片为载体,通过QuartusII 的LPM_ROM 模块和VHDL 语言为核心设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波、递减锯齿波、三角波、阶梯波和方波等5 种信号,通过QuartusII 软件进行波形仿真、定时分析,仿真正确后,利用实验板提供的资源,下载到芯片中实现预定功能。

信号发生器又称为波形发生器,是一种常用的信号源,广泛应用于电子电路、通信、控制和教学实验等领域。

它是科研及工程实践中最重要的仪器之一,以往多用硬件组成,系统结构比较复杂,可维护性和可操作性不佳。

随着计算机技术的发展,信号发生器的设计制作越来越多的是用计算机技术,种类繁多,价格、性能差异很大。

用FPGA 或CPLD 来实现,它的优点是可以进行功能仿真,而且FPGA 和CPLD 的片内资源丰富,设计的流程简单。

用FPGA 所构成的系统来产生波形信号,这个系统既能和主机系统相连,用相应的上层软件展示波形信号,又方便程序的编写,而且还有A/D0809接口可以产生模拟信号的输出和外面的示波器相连。

1 正弦信号发生器的LPM 定制 正弦信号发生器由计数器或地址发生器(6 位)、正弦信号数据ROM (6 位地址线,8 位数据线,含有64 个8 位数据,一个周期)、原理图顶层设计和8 位D/A (实验中用DAC0832 代替)。

其框图如图1 所示。

其中信号产生模块将产生所需的各种信号,这些信号的产生可以有多种方式,如用计数器直接产生信号输出,或者用计数器产生存储器的地址,在存储器中存放信号输出的数据。

信号发生器的控制模块可以用数据选择器实现,用8 选1 数据选择器实现对5 种信号的选择。

 图1 信号发生器结构框图 最后将波形数据送入D/A 转换器,将数字信号转换为模拟信号输出。

用示波器测试D/A 转换器的输出,可以观测到5 种信号的输出。

1.1 定制初始化数据文件 QuartusII 能接受的LPM_ROM 模块中的初始化数据文件的格式有两种:。

毕业设计(论文)-基于fpga的函数信号发生器的设计与实现[管理资料]

毕业设计(论文)-基于fpga的函数信号发生器的设计与实现[管理资料]

基于FPGA的函数信号发生器的设计与实现摘要波形发生器己成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。

直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。

由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。

本文首先介绍了函数波形发生器的研究背景和DDS的理论。

然后详尽地叙述了用FPGA完成DDS模块的设计过程,接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。

最后就这三个部分分别详细地进行了阐述。

本文利用Altera的设计工具QuartuSH并结合VeI’i1og一HDL语言,采用硬件编程的方法很好地解决了这一问题。

论文最后给出了系统的测量结果,并对误差进行了一定分析,结果表明,,、三角波、锯齿波、方波,通过实验结果表明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA技术实现波形发生器的方法是可行的。

关键词:函数发生器,直接数字频率合成,现场可编程门阵列The Design and Realize of DDS Based on FPGAAbstractArbitrary Waveform Generator(AWG) is one of the most popular instruments in modern testing domains,Which represents the developing direction of signal sources· Direct Digital frequency Synthesis(DDS) advance dearly in full digital technology for frequency synthesis,its LUT method for synthes waveform .Adapts togenerate arbitrary Waveform· Field programable GateArray(FPGA)has the feature sof Iargeseale integration,high working frequency and ean realize lal’ge Memory,50FPGAeaneffeetivelyrealizeDDS.The of Corporation Altera ehosen to do the main digitalProcessing work,which based on its large sale and highs Peed. The 53C2440MCU ehosenasa control ehip· Inthisdesign,how to design the fpga chip and theInter faee between the FPGA and the control ehiP the the method ofSoftware and hardware Programming,the design used the software Quartus11 and languageverilog一HDL solves ,the PrineiPle of DDS and Basis of EDA technology introdueed Problem is the design are analyzed and the whole fun into three Parts:masterehiP,FPGA deviee and PeriPheral three Parts are described indetail disadvantage and thing sneed toadv anceareal Of the dissertation,or asquare wave with in the frequency rangeto20MHz .Planed and the way to use software and hardware Programming method and DDS Technology to realize Functional Waveform Generatoravailable.Keywords:DDS;FPGA;Functional Waveform Generator目录第一章绪论 ................................................ IV ............................................................................................................... IV ................................................................................................................. V ......................................................................................................... V....................................................................................................... VI .............................................................................................................. VII ...................................................................................................... VIIDMA输出方式.......................................................................... VII...................................................................................................... VII..................................................................................................... V III 第二章直接数字频率合成器的原理及性能 ................................................ I .................................................................................................................. I .......................................................................................................... I......................................................................................................... I I DDS原理 ............................................................................................. I II 第三章基于FPGA的DDS模块的实现 .......................................................... I (FPGA)简介 ............................................................................................. I II软件并建立工程 ....................................................................... I I新建Block Diagram/Schematic File并添加模块电路。

基于FPGA的多功能信号发生器设计

基于FPGA的多功能信号发生器设计

1绪论 信号发生器 是一种常 用的信号 源[1Jj它是一种 为电子测量 和计量工 作提供 信号 的设 备 ,信 号源 作为一 种基本 电子设 备 ,在教学 、科 研 、电子产 品测量 与调 试 、部 队设备 技术保 障等领 域都有 着广 泛 的应用 。近几 年 随着FPGA技术 的快 速 发展和 广泛应 用 ,其在信 号发 生器上 的应用得 到 了很好 的认 同 ,很好 的解决 了传统 信号发 生器带来 的一些 问题 ,信号 发生器 己成为测试 仪器 中至 关重要 的 一 类 ,因此开 发信 号 发生器 具有重 大意 义 。 2 FPGA概 述 FPGA是现场 可编程 门阵列 ,它是 在PAL、GAL、CPLD等 可编程 器件 的基 础上 进一 步发展 的产 物 。它是 作为 专用集 成 电路(ASIC)领 域 中的一 种半 定制 电路 而 出现的 。FPGA以其 独特 的优 点在 电子设 计 中发挥越 来越 重要 的作用 。 采用FPGA进 行设 计开 发流 程 为 : (1段 计输入 。设计 输入包 括使用 硬件描述 语言HDL、状 态 图与原理 图输入 三种方 式。HDL ̄¥t方 式是现 今设计 大规模数 字集成 电路 的 良好形 式 ,主要 以 IEEE标 准 中VHDL与Verilog HDL两种形 式f2】。原理 图输 入在 顶层 设计 、数 据 通 路逻辑 、手 工最优 化 电路等方 面具有 图形 化强 、单元节 俭 、功能 明确等特 点 。 常用 方式是 以HDLi ̄言为主 ,原理 图为 辅 ,进 行混合设计 以发挥二 者各 自特 色 。 (2)设计综合 。设 计综合 就是针对给 定的 电路 实现功 能和实 现此电路 的约束 条件 ,如速 度 、功 耗 、成 本及 电路类型 等 ,通 过计算机进 行优化处 理 ,获 得一个 能 满足 上述 要 求的 电路设 计方 案 。 (3肪 真验 证 。仿真是 指使用设 计软件 包对 已实现 的设 计进行 完整测 试 ,模 拟实 际物理环 境 下的工 作情 况 。 (4)设计 实现 。实现可理 解为利用实 现工具把逻辑映 射到 目标器 件结构 的资 源中 ,决定逻 辑 的最 佳布 局 ,选择逻 辑与 输入输 出功能 连接 的布线通 道进行 连 线 ,并产生 相应 文件 。 (5)时序分 析 。在设计 实现过程 中,在映射 后需要 对一个设 计的实 际功 能块 的延时 和估计 的布 线延时 进行 时序 分析 ;而 在布局 布线后 ,也要对实 际布局 布 线的功 能块 延时和 实 际布 线 延时进 行静 态时序 分析 。 (6)下载 验证 。下载 是在功 能仿真与 时序仿 真正确 的前提 下 ,将综 合后 形成 的文件 下载到具 体的FPGA芯片 中 ,也叫芯 片配置 。将位流 文件下 载到FPGA器 件内部后 进行实 际器件的物 理测试 即为 电路验证 ,当得 到正确 的验 证结果 后就 证明 了设计 的正 确性 。 3信号 发生 器的设 计 3.1 系统功 能 本 文拟设计 一个 多功能 发生器 ,要求 能够 以稳定 的频率产 生递增锯 齿 波 、 递减锯齿 波 、三角波 、梯形波 、和方 波等5种波 形 ,并且可 以通 过示波器观 察到所 选择 的波 形。

基于FPGA的多功能信号源设计与实现

基于FPGA的多功能信号源设计与实现

基于FPGA的多功能信号源设计与实现摘要直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展,现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提出了一种全新的设计模式。

本设计结合这两项技术,并利用FPGA灵活的控制技术,设计了一种新的波形发生器.本文首先介绍了DDS的基本原理,接着对EDA技术及可编程逻辑器件的特点和发展历程进行了详细地介绍,其中对要使用到的Quartus II、 MATLAB/DSP Builder、ModelSim 等软件作了介绍.根据DDS基本原理用VHDL语言完成了对正弦信号发生器、数字移相信号发生器的设计,并用Quartus II进行时序仿真,嵌入式逻辑分析仪(SignalTap II)进行在线实时测试,最后下载到EDA实验开发平台上进行试验,通过示波器观察实验结果。

用现代DSP技术设计工具DSP Builder建模设计了调幅(AM)信号发生器、频移键控(FSK)信号发生器、正交幅度调制(QAM),并对其进行算法仿真,再用ModelSim进行功能仿真,Quartus II进行时序仿真,(功能仿真模拟波形与MATLAB算法仿真波形一致,功能仿真的数据流图与Quartus II时序仿真一致)仿真结果表明,本次设计达到了设计要求。

关键词直接数字频率合成;波形发生器;现场可编程门阵列;VHDL;DSP BuilderABSTRACTDirect Digital frequency Synthesis (DDS) was advanced rapidly in early 1970s and has been developing owing to its entirely digital structure。

The appearance of Field Programmable Gates Array has changed the design method of digital electronic system and provided a new design model。

+基于FPGA的多功能信号发生器

+基于FPGA的多功能信号发生器

基于FPGA的多功能信号发生器一.试验目的:1.了解GW48-CK综合实验箱结构2.熟悉VHDL语言3.了解FPGA芯片(EP1K30TC144-3)结构及引脚4.了解D/A芯片(DAC0832)结构[5.熟悉FPGA设计软件quartus ii 9.0的使用6.掌握产生三角波,锯齿波,梯形波的原理7.学会用FPGA设计多功能信号发生器二.试验仪器及设备:1.pc机2.GW48-CK型FPGA综合试验箱3.FPGA芯片:EP1K30TC144-34.D/A芯片:DAC08325.示波器6.quartus ii 9.0仿真软件三.实验要求:.设计基于FPGA的多功能信号发生器,此信号发生器可产生的波形有:正弦波,方波,三角波,斜升锯齿波,斜降锯齿波,梯形波,阶梯波,双阶梯波中的六种。

设计软件要求用quartus ii,先用该软件仿真,再接上FPGA试验箱,编译,运行并下载到实验箱,用示波器观察期指定输出端波形。

四.试验原理:1.基于QUASTUS II 9.0平台,利用DDS(直接数字信号合成)技术,采用VHDL语言,设计一波形信号发生器。

首先根据对各波形的幅度进行采样,获得各波形的波形数据表,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入GW48-CK实验板上的D/A转换芯片进行转换为模拟信号,最后送入滤波电路滤波后输出。

2.实验整体框图如下:由方波模块(niushengli_fb)、阶梯波模块(niushengli_jtb)、正弦波模块(niushengli_sin)、三角波模块(niushengli_sjb)、斜升锯齿波模块(niushengli_xsjcb)、斜降锯齿波模块(niushengli_xjjcb)、6选1选择器(niushengli_mux61)以及反向器(not)组成。

五.试验步骤:a)在桌面上双击quartus ii 9.0图标(或在开始中→程序→Alera→quartus ii9.0)启动设计软件。

毕业设计论文基于FPGA的信号发生器设计

毕业设计论文基于FPGA的信号发生器设计

武汉工业学院毕业设计(论文)设计(论文)题目:基于FPGA的信号发生器设计姓名学号院系电气与电子工程学院专业电子信息科学与技术指导教师目录摘要 ...................................................................................................................................... i ii Abstract (iv)前言 (1)1绪论 (3)1.1 FPGA简介 (3)1.2 modelsim简介 (5)1.3 DDS基本原理介绍 (6)2设计方案 (8)2.1 总体设计方案 (8)2.2方案论证 (8)2.2.1方案一 (8)2.2.2方案二 (9)2.2.3方案三 (9)2.3方案确定 (9)3 硬件电路设计 (11)3.1硬件设计注意事项 (11)3.2 DA电路 (11)3.3滤波电路 (12)3.4硬件电路实现 (13)4软件设计 (14)4.1波形产生模块 (14)4.1.1正弦波 (14)4.1.2方波 (15)4.1.3 三角波 (15)4.2频率控制模块 (16)4.3相位累加模块 (17)4.4选择波形模块 (17)4.5幅度控制模块 (18)4.6软件设计总成 (19)5 调试 (20)5.1设计及仿真调试使用设备 (20)5.2 调试方法 (20)5.2.1 硬件调试 (20)5.2.2 软件调试 (20)5.2.3 综合调试 (20)5.3 调试结果 (21)5.3.1 软件仿真结果及分析 (21)5.3.2 综合调试结果 (24)总结 (25)致谢辞 (26)参考文献 (27)附件1 ROM生成源程序 (28)附件2 40位流水线加法器程序 (30)摘要信号发生器是数字设备运行工作中必不可少的一部分,没有良好的信号源,最终就会导致系统不能够正常工作,更不必谈什么实现其它功能了。

基于FPGA的多功能信号发生器设计

基于FPGA的多功能信号发生器设计

三角波的实现 输入的选择信号等于3时,信号发生器顶层电路的仿 真波形如图所示,此时输出波形是三角波。
阶梯波的实现 输入的选择信号等于4时,信号发生器顶层电路的仿 真波形如图所示,此时输5时,信号发生器顶层电路的仿 真波形如图所示,此时输出的波形是方波。输出的数字信 号为周期性的全0或全1。
基于FPGA的多功能信号发生器设计 论文答辩
指导老师: 学生: 学号:
论文框架
1、系统任务 2、课题背景 3、方案论证 4、信号发生器的设计过程 5、波形仿真 6、结论
系统任务
通过运用所学知识,查阅相关资料,在 老师的细心指导下,一定能在规定的时间内 完成课题的要求, 用VHDL语言结合原理图 设计实现一个多功能信号发生器 。
信号发生器的设计过程
设计规划 根据设计思路,信号发生器的结构框图如图所示。它 由信号产生,信号控制,D/A转换三部分组成。
时钟信 号 选择信 号
信 号 产 生 信 号 控 制 D/A 转 换 器 输 出 信 号
递增锯齿波模块 其生成的元器件如图
递减锯齿波模块 其生成的元器件如图
三角波模块 其生成的元器件如图
选择方案
综合以上原因,从实现难易,误差大小以及最终结果等各 方面考虑,选择方案二。 根据方案二中多功能信号发生器的设计原理,本次方案运 用VHDL编程实现多波形数字输出,然后再通过DAC0832实 现数模转换,通过示波器观察到模拟波形输出,完成实验。 由于程序各个模块独立,思路清晰,比较简单,容易及时 修改,验证和仿真;简单易行。实现了多功能信号发生器 各个波形的成功输出。
结论
EDA技术是电子设计的发展趋势,利用EDA工具可 以代替设计者完成电子系统设计中的大部分工作EDA工 具从数字系统设计的单一领域,发展到今天,应用范围己 涉及模拟、微波等多个领域,可以实现各个领域电子系统 设计的测试、设计仿真和布局布线等。通过使用quartus Ⅱ这个完全集成化、易学易用的可编程逻辑设计环境,利 用VHDL语言设计的多功能信号发生器,较成功的完成了 预期的效果,能够正确的输出递增锯齿波、递减锯齿波、 三角波、方波以及阶梯波,并能够有选择、有规律的输出。 但在实际硬件实施过程中器件本身所存在的误差,导致波 形有些失真。由于时间关系,我们没有及时消除,但不影 响测试结果。系统功能实现不够完善,这些都需要不断的 改进和补充。本设计基于FPGA的高精度数字式多功能波 信号发生器,采用文本和原理图结合的方法设计,提高开 发效率,缩短研发周期,而且系统的调试方便,修改容易。 实验表明系统产生的波形比较稳定,抗干扰能力强,有一 定的开发及生产价值。

基于FPGA的信号发生器设计

基于FPGA的信号发生器设计

引言信号发生器是一种常用的信号源,广泛应用于电子电路、自动控制和科学试验等领域。

传统的信号发生器一般基于模拟技术,但基于模拟技术的传统信号发生器能生成的信号类型比较有限,一般只能生成少数的规则波形信号。

如果需要生成较复杂的波形信号,电路的复杂度以及设计难度都将大大增加。

任意波形发生器就是为了解决这个问题而去研究的,任意波形发生器是利用DDS(直接数字频率合成)技术,通过在波形存储器内存储待输出信号的波形采样数据,并对波形存储器进行顺序的循环寻址来合成波形。

只要改变波形存储器中的波形采样数据,就可以方便的得到需要波形的信号。

因此任意波形发生器不仅能产生正弦、余弦、方波、三角波和锯齿波等常见信号,还可以通过各种编辑手段生成任意的波形采样数据,方便的合成传统信号发生器所不能生成的任意波形信号,从而满足各种测试和实验的需要。

当今时代微电子技术的进步以及各应用领域多样化的要求,促使集成电路向高速、高集成度、低功耗的系统集成方向发展。

在单芯片上集成嵌入式CPU、DSP、存储器和其他功能的片上系统(SOC)正处于高速发展中。

Altera公司提出的片上可编程系统(SOPC)解决方案,使得FPGA在嵌入式系统设计领域中的地位越来越重要。

SOPC(System On Programmable Chip可编程片上系统)是一种特殊的嵌入式微处理器系统。

首先,它是片上系统(SOC, System On Chip),即由单个芯片完成整个系统的主要逻辑功能;其次,它是可编程系统,以FPGA为硬件基础,具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件系统在线可编程的功能。

本设计就是采用SOPC方案,以DDS技术为核心技术构建了波形发生器的整个系统,这样可以避免了传统信号发生器的缺点,方便地实现了信号的产生。

1 总体设计概述FPGA(现场可编程门阵列)是一项非常前沿和有发展前景的技术。

FPGA的芯片实际上就是一片已经制造好的大规模集成电路芯片。

基于FPGA的信号发生器

基于FPGA的信号发生器

数字信号发生器实验设计报告摘要:使用数字芯片特别是可编程逻辑器件来实现看似复杂的功能电路往往既简单又节省资源。

典型信号如:方波,锯齿波,三角波,正弦波等在实验或工程中应用极广,所以本实验使用VHDL 及原理图相结合的方法在FPGA 上实现数字信号的发生。

关键字:VHDL,FPGA,信号发生 一、设计方案:(1)方波,锯齿波,三角波均由计数器结合条件语句实现; (2)正弦波采用定制ROM,然后通查找表的方法实现; (3)使用多选一选择器选择四种波型之一;(4)输出波型的频率由分频程序对输入波频率进行分频而得,分频数由按键输入二进制数实现。

二、方案实现: ①方波:library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pulse isport(fclk,reset: in std_logic;d_out: out std_logic_vector(7 downto 0)输入方波信号分频模块方波锯齿波三角波正弦波四选一多路选择器D A 转换模拟 波形分频数2341按键);end pulse;architecture behave of pulse issignal a: std_logic;beginprocess(fclk,reset)variable tmp: std_logic_vector(7 downto 0); beginif reset='1' thena<='0';elsif rising_edge(fclk) thenif tmp="11111111" thentmp:="00000000";elsetmp:=tmp+1;end if;if tmp<="10000000" thena<='1';elsea<='0';end if;end if;end process;process(fclk,a)beginif rising_edge(fclk) thenif a='1' thend_out<="11111111";elsed_out<="00000000";end if;end if;end process;end behave;②锯齿波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity juchi isport(fclk: in std_logic;reset: in std_logic;d_out: out std_logic_vector(7 downto 0) );end juchi;architecture arch of juchi issignal da: std_logic_vector(7 downto 0);beginprocess(fclk,reset,da)beginif reset='1' thenda<="00000000";elseif fclk='1' and fclk'event thenif da<255 thenda<=da+1;else da<="00000000";end if;end if;end if;end process;d_out<=da;end arch;③三角波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity rectangle isport(fclk,reset: in std_logic;d_out: out std_logic_vector(7 downto 0));end rectangle;architecture behave of rectangle isbeginprocess(fclk,reset)variable tmp: std_logic_vector(7 downto 0);variable a: std_logic;beginif reset='1' thentmp:="00000000";elsif rising_edge(fclk) thenif a='0' thenif tmp="11111110" thentmp:="11111111";a:='1';elsetmp:=tmp+1;end if;elseif tmp="00000001"thentmp:="00000000";a:='0';elsetmp:=tmp-1;end if;end if;end if;d_out<=tmp;end process;end behave;④正弦波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sinadd isport(fclk,reset: in std_logic;address: out std_logic_vector(5 downto 0));end sinadd;architecture behave of sinadd isbeginprocess(fclk,reset)variable cnt: std_logic_vector(5 downto 0):="000000"; beginif reset='1' thencnt:="000000";elseif fclk='1' and fclk'event thencnt:=cnt+1;end if;end if;address<=cnt;end process;end behave;⑤分频:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport ( clk: in std_logic;reset: in std_logic;fclk: out std_logic;keyya,keyyb,keyyc,keyyd: in std_logic);end entity;architecture behave of fenpin issignal fclkk:std_logic;signal cnt: std_logic_vector(3 downto 0);signal cntt: std_logic_vector(3 downto 0);begincntt<=keyya&keyyb&keyyc&keyyd;process(clk,reset)variable cnt: std_logic_vector(3 downto 0);beginif(reset='1') thenfclkk<='0';cnt:=(others=>'0');elseif(clk'event and clk='1') thenif(cnt=cntt) thencnt:=(others=>'0');fclkk<= not fclkk;elsecnt:=cnt+1;end if;end if;end if;fclk<=fclkk;end process;end behave;e;⑥波形选择:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity wav_sel isport(keya,keyb: in std_logic;d_in0,d_in1,d_in2,d_in3: in std_logic_vector(7 downto 0); d_out: out std_logic_vector(7 downto 0));end wav_sel;architecture behave of wav_sel issignal key: std_logic_vector(1 downto 0);beginkey<=keya&keyb;with key selectd_out<=d_in0 when "00",d_in1 when "01",d_in2 when "10",d_in3 when "11","00000000" when others;end behave;⑦顶层设计文件(原理图):三、实验现象(使用Signal Tap II):正弦波:方波:锯齿波:三角波:四、实验数据:时钟输入为50MHz:波形参数方波最小频率:最大频率:锯齿最小频率:最大频率:三角最小频率:最大频率:正弦最小频率:最大频率:五、芯片资源占用情况:。

FPGA实现多功能函数信号发生器

FPGA实现多功能函数信号发生器

多功能函数发生器
一、 二、 三、 四、 五、 设计目的 设计任务与性能指标 系统总体设计与工作原理 系统安装调试 实验波形
一.设计目的
利用Verilog语言及Quartus软件编写多功能信号发
生器,并在实验板锁定管脚,利用按键进行调频调幅,
利用DAC0832转化为模拟波形,使示波器能辨别信号, 以达到输出波形的目的。
方波
f=780.0KHz Vpp=10.16mV
人有了知识,就会具备各种分析能力, 明辨是非的能力。 所以我们要勤恳读书,广泛阅读, 古人说“书中自有黄金屋。 ”通过阅读科技书籍,我们能丰富知识, 培养逻辑思维能力; 通过阅读文学作品,我们能提高文学鉴赏水平, 培养文学情趣; 通过阅读报刊,我们能增长见识,扩大自己的知识面。 有许多书籍还能培养我们的道德情操, 给我们巨大的精神力量, 鼓舞我们前进。
二、 设计任务与性能指标ቤተ መጻሕፍቲ ባይዱ
①实现产生波形参数设定; ②完成输出波形函数的图像显示功能; ③实现正弦波、三角波和方波等;
④可实现频率幅度调节;
三、 系统总体设计与工作原理
FPGA DAC LPF 示波器
DDS原理图:
DDS工作原理: DDS的具体工作过程是由N位相位累加器、N 位加法器和N位累加寄存器组成。每来一个时钟脉冲, N位加法器将频率控制字K与N位累加寄存器输出的 累加相位数据相加,并把相加后的结果送至累加寄 存器的输入端。累加寄存器一方面将上一时钟周期 作用后所产生的新的相位数据反馈到加法器的输入 端,使加法器在下一时钟的作用下继续与频率控制 字K相加;另一方面将这个值作为取样地址送入幅度/ 相位转换电路,幅度/相位转换电路根据这个地址输 出相应的波形数据。最后经D/A转换器和 LPF将波形 数据转换成所需要的模拟波形。

基于fpga的信号发生器的设计与实现

基于fpga的信号发生器的设计与实现

科学技术创新2020.01基于FPGA 的信号发生器的设计与实现李岩方彬靳自璇赵勇彪张红岭(河北建筑工程学院电气工程学院,河北张家口075000)1概述现阶段,函数信号发生器已成为现代测试领域应用最为广泛的通用仪器之一。

随着数字技术在仪器仪表和通信系统中的广泛使用,可从参考频率源产生多个频率的数字控制方法诞生了,即直接数字频率合成(DDS)。

FPGA (现场可编程门阵列)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS 技术,极大地提高了函数信号发生器的性能,大大降低了电子系统的生产成本。

传统的信号发生器设计方案是采用模拟电路、单片机或DDS 专用芯片来实现,虽然有着广泛的应用,但仍然存在着许多缺陷,例如,设计方案成本高、输出波形的种类少、输出信号的频率控制不灵活、系统升级困难等。

而且传统的信号发生器还有两个突出问题,一个是通过电位器的调节来实现输出频率的调节,很难将频率调到某一固定值;另一个是脉冲的占空比不可调节。

随着现代科学技术的飞速发展,用户对函数信号发生器提出了越来越高的要求,例如高分辨率、高输出频率等要求,传统的信号发生器已经无法满足这些要求。

不论是在生产、实验还是在科研与教学上,信号发生器都是用于仿真实验的最佳工具。

因此开发新型信号发生器具有重大意义。

本文提出了一种新型的以FPGA 为核心的DDS 信号发生器设计,充分利用uc/GUI 控制方式灵活、FPGA 芯片运算处理速度快的优点,能够通过图形用户界面很好地实现频率、相位、幅度可调、波形变换并且输出信号质量和精确度高于直接模拟频率合成技术和锁相环式频率频率合成技术。

弥补了传统信号发生器设计方案成本高、输出波形的种类少、输出信号的频率控制不灵活、系统升级困难等缺陷。

2信号发生器设计原理2.1直接数字频率合成技术的基本原理DDS 是一种用于通过单个固定频率的参考时钟信号生成任意波形的频率合成器,必须考虑所有与采样相关的问题,包括量化噪声、混叠、滤波等。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于FPGA的实用多功能信号发生器的设计与制作基于FPGA的实用多功能信号发生器的设计与制作摘要多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。

直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。

由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。

本文首先介绍了函数信号发生器的研究背景和DDS的理论。

然后详尽地叙述了利用Verilog HDL描述DDS模块的设计过程,以及设计过程中应注意的问题。

文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分Verilog HDL代码以及利用Modelsim仿真的结果。

文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器的大部分功能。

包括由LCD显示和按键输入构成的人机界面和多种信号的发生。

数字模拟转换器是BURR-BROWN 公司生产的DAC902。

该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。

关键词:信号发生器;DDS;FPGA;DE2Practical FPGA-based multi function signal generatordesign and productionAbstractMulti function signal generator has become the most widely used in modern testing field of general instrument, and has represented one of the development direction of the source. Direct digital frequency synthesis (DDS) is a totaly digital frequency synthesis technology, which been put forward in the early 1970s. Using a look-up table method to synthetic waveform, it can satisfy any requirement of waveform produce. Due to the field programmable gates array (FPGA) with high integrity, high speed, and large storage properties, it can realize the DDS technology effectively, increase signal generator’s performance and reduce production costs.Firstly, this article introduced the function signal generator of the research background and DDS theory. Then, it described how to design a DDS module by Verilog HDL, and introduced various signal occurs theory, method and the implementation process, Verilog HDL code and simulation results.This paper also introduces the function of DE2 multimedia development platform, and completed most of the functions of multi-function signal generator on DE2 platform finally. Including the occurrence of multiple signal and the man-machine interface which composed by LCD display and key input. Digital-to-analog converters is DAC902, which produced by company BURR-BROWN.This signal generator can output eight different kinds of signals, and the frequency of the output signal, phase and modulation frequency signal also can be modifyed.Key Words: Signal generator; DDS; FPGA; DE2目录论文总页数:34页1 引言 (1)1.1课题背景 (1)1.2国内外波形发生器的发展现状 (1)1.3本文研究的主要内容 (2)2 信号发生器原理 (2)2.1直接数字频率合成技术的基本原理 (2)2.2相位偏移控制 (3)2.3多种信号的发生 (3)2.3.1方波的发生 (3)2.3.2三角波发生 (4)2.2.3锯齿波发生 (4)2.3.4 PWM信号发生 (4)2.3.5 SPWM信号发生 (5)2.3.6 AM信号发生 (5)2.3.7 FM信号发生 (6)2.4DDS的特点 (7)2.4.1 DDS 的优点 (7)2.4.2 DDS 系统的缺点 (7)3 系统整体设计 (8)3.1硬件部分 (8)3.1.1 DE2实验板 (8)3.1.2 LCD模块 (9)3.1.2 DAC902 (11)3.2基于VERILOG的FPGA设计 (12)3.3软件工具 (12)3.3.1 Modelsim (12)3.3.2 Quartus (12)3.4系统设计 (13)3.4.1 系统初始化模块 (13)3.4.2按键模块和LCD模块 (13)3.4.3 RAM模块 (14)3.4.4数据转换模块 (15)3.4.5 DAC驱动模块 (15)3.4.6系统的运行 (15)4 VERILOG HDL代码实现与仿真 (15)4.1信号发生器模块 (15)4.1.1频率控制字和相位累加器 (15)4.1.2 相位偏移控制 (16)4.1.3正弦波发生模块 (17)4.1.4 方波发生模块 (17)4.1.5 三角波发生模块 (18)4.1.6 锯齿波发生模块 (18)4.1.7 PWM信号发生模块 (19)4.1.8 SPWM信号发生模块 (19)4.1.9 AM信号发生模块 (20)4.1.10 FM信号发生模块 (21)4.2按键输入模块 (22)4.3LCD显示模块 (23)4.4RAM模块 (24)4.5数据转换模块 (25)5 系统测试 (26)5.1控制及显示部分测试 (27)5.2输出频率测试 (27)5.3信号发生测试 (28)5.3.1 正弦波、方波、三角波、锯齿波测试 (28)5.3.2 PWM信号测试 (29)5.3.3 SPWM信号测试 (29)5.3.4 AM信号测试 (29)5.3.5 FM信号测试 (30)结论 (31)参考文献 (32)致谢...................................................... 错误!未定义书签。

声明...................................................... 错误!未定义书签。

1 引言1.1课题背景传统的信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间。

它能够提供正弦波、余弦波、方波、三角波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。

这个时期的波形发生器多采用模拟电子技术,但是模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点。

而且要产生的信号波形越复杂,则电路结构也会越复杂。

同时还有两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。

现代科学技术的飞速发展对信号源提出了越来越高的要求。

这些要求主要表现在高分辨率、高输出频率、任意波形等方面。

此时传统的信号发生器已经无法满足要求。

直接数字频率合成[1](Direct Digital Synthesizer简称DDS)技术是一种新的全数字的频率合成原理,它从相位的角度出发直接合成所需波形。

这种技术由美国学者J.Tiercy,M.Rader和B.Gold于1971年首次提出,但限于当时的技术和工艺水平,DDS技术仅仅在理论上进行了一些探讨,而没有应用到实际中去。

但是随着电子技术的飞速发展,微处理器性能大幅提高,高速的DA以及随机存储器大量涌现,DDS技术已经变得很容易实现。

它已广泛应用于通讯、雷达、遥控测试、电子对抗以及现代化的仪器仪表工业[2]等许多领域。

将其与简单电路相结合就可以精确模拟仿真各种信号。

不论是在生产、实验还是在科研与教学上,多功能信号发生器都是用于仿真实验的最佳工具。

随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,多功能信号生器己成为测试仪器中至关重要的一类,因此开发多功能信号发生器具有重大意义。

1.2国内外波形发生器的发展现状早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取样频率为5MHz,可以形成256点波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源。

经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,信号发生器的性能有了飞速的提高。

信号发生器变得操作越来越简单而输出波形的能力越来越强。

90年代末,出现几种真正高性能、高价格的函数发生器,如HP公司推出了型号为HP770S的信号模拟装置系统。

它由HP9770A任意波形数字化和HPl776A 波形发生软件组成。

HP8770A实际上也只能产生8种波形,而且价格昂贵。

不久以后,Analogie公司推出了型号为Data-2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。

到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可达到GHz水平的DDS芯片,同时也推动了函数波形发生器的发展。

相关文档
最新文档