等精度频率的测量设计报告
等精度测频法的频率计设计
摘要摘要频率测量是电子学测量中最为基本的测量之一。
频率计主要是由信号输入和放大电路、单片机模块、分频模块及显示电路模块组成。
AT89C52单片机是频率计的控制核心,来完成它待测信号的计数,译码,显示以及对分频比的控制。
利用它内部的定时/计数器完成待测信号频率的测量。
在整个设计过程中,所制作的频率计采用外部分频,实现1Hz-1kHz的频率测量及1ms-100ms的脉宽测量,而且可以实现量程自动切换流程。
以AT89C52单片机为核心,通过单片机内部定时/计数器的门控时间,方便对频率计的测量。
其待测频率值使用LCD液晶显示器显示,并可以自动切换量程。
本次采用单片机技术设计一种数字显示的频率计,具有测量准确度高,响应速度快,体积小等优点。
关键词:频率计,单片机,LCD液晶显示器。
I西安交通大学城市学院本科生毕业设计(论文)I IABSTRACTABSTRACTFrequency measurement is one of the most basic measurement electronics measurement. Frequency counter is dominated by the signal input and the amplifier circuit, microcontroller module, frequency module and the display circuit module. AT89C52 microcontroller is to control the core frequency meter to complete its measured signal counting, decoding, display and control divider ratio. Use its internal timer / counter to complete the test signal frequency measurements.Throughout the design process, by making use of external parts of the frequency meter frequency to achieve frequency measurement and pulse width measurement 1ms-100ms of 1Hz-1kHz, and can realize automatic range switching processes. To AT89C52 microcontroller as the core, through the internal microcontroller timer / counter gate time, easy measurement of the frequency meter. Its measured frequency value using the LCD display, and can automatically switch range. The use of microcomputer technology to design a digital display of frequency meter, have a measurement of high accuracy, fast response, small size and so on.KEYWORDS: Frequency meter, Single chip, LCD display.III西安交通大学城市学院本科生毕业设计(论文)I V目录目录1 绪论 (1)1.1 数字频率计简介 (1)1.2 单片机系统的研究现状 (2)1.3 频率计的研究现状 (2)1.4设计的技术要求和主要内容 (3)2 等精度频率计的原理与应用 (5)2.1 等精度频率计测量的原理 (5)2.2 脉冲宽度的测量方法 (6)2.3 等精度频率计的误差分析 (6)2.4 本章小结 (8)3 硬件电路设计 (9)3.1单片机周边电路 (9)3.2 51单片机及AT89C52介绍 (9)3.2.1 单片机简介 (9)3.2.2 AT89C52简介 (10)3.2.3 管脚说明 (11)3.2.4 AT89C52主要性能 (13)3.3 各部分电路图及电路工作原理分析 (13)3.3.1 时钟脉冲电路 (13)3.3.2 同步门逻辑控制电路 (14)3.3.3 LCD显示电路 (15)3.3.4 复位电路 (17)4 软件设计 (19)4.1 KEIL51软件简介 (19)4.2 软件的模块化设计及各部说明 (19)4.2.1 定时中断模块 (19)4.2.2 测量模块 (20)4.2.3 显示模块 (20)V西安交通大学城市学院本科生毕业设计(论文)V I 4.2.4 软件流程图 (20)5 系统仿真与调试 (21)5.1 Proteus软件简介 (21)5.2 Proteus的电路仿真 (22)5.3误差分析 (24)总结 (27)致谢 (29)参考文献 (31)附录 (33)1 程序 (33)2 附图 (41)3 外文翻译 (44)绪论1 绪论1.1 数字频率计简介数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。
采用等精度测频原理的频率计的设计
采用等精度测频原理的频率计的设计一.设计要求1.设计一个用等精度测频原理的频率计。
2.频率测量测量范围1~9999;3.用4位带小数点数码管显示其频率;二.测频原理及误差分析1.常用的直接测频方法主要有测频法和测周期法两种。
2.测频法就是在确定的闸门时间Tw内,记录被测信号的变化周期数(或脉冲个数)Nx,则被测信号的频率为:fx=Nx/Tw。
3.测周期法需要有标准信号的频率fs,在待测信号的一个周期Tx内,记录标准频率的周期数Ns,则被测信号的频率为:fx=fs/Ns。
4.这两种方法的计数值会产生±1个字误差,并且测试精度与计数器中记录的数值Nx或Ns有关。
为了保证测试精度,一般对于低频信号采用测周期法;对于高频信号采用测频法,因此测试时很不方便,所以人门提出等精度测频方法。
5.等精度测频方法是在直接测频方法的基础上发展起来的。
6.它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步,因此,测除了对被测信号计数所产生±1个字误差,并且达到了在整个测试频段的等精度测量。
等精度测频原理波形图7.在测量过程中,有两个计数器分别对标准信号和被测信号同时计数。
8.首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等到被测信号的上升沿到来时,计数器才真正开始计数。
然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。
可以看出,实际闸门时间τ与预置闸门时间τ1并不严格相等,但差值不超过被测信号的一个周期9.设在一次实际闸门时间τ中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns。
10.标准信号的频率为fs,则被测信号的频率为11.由式(1)可知,若忽略标频fs的误差,则等精度测频可能产生的相对误差为12.δ=(|fxc-fx|/fxe)×100% (2)13.其中fxe为被测信号频率的准确值。
等精度频率计的实验报告
数字频率计摘要以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。
主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。
作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。
关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言一、系统方案论证与比较根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下:1.主控器件比较与选择方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。
方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。
综上所述,主控器件我选择方案一。
2.测量方法的比较与选择方案一:采用测频法测量。
在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。
方案二:采用测周法测量。
用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。
方案三:采用等精度法和测周法结合的方法。
用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。
这种方法取长补短,既能准确的测高频又能测低频。
综上所述,测量方法我选用方案三。
3. 界面显示方案的选择方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。
方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。
等精度频率计设计
等精度频率计。
测频模块的片外输入采作者:林军招弟任战涛指导老师:杰(黄冈师学院物理科学于技术学院林军招弟任战涛黄冈438000)摘机和FPGA构成的最小系统为核心,以89C52单片机作为控制中心,汇编语言编程。
FPGA 主芯片是Altera 公司的Cyclone 系列的EP1C6Q240C8,VHDL语言编程,设双向口,等精度测频模块,键盘编码扫描模块等。
测频模块的片外输入采作者:林军招弟任战涛指导老师:杰(黄冈师学院物理科学于技术学院林军招弟任战涛黄冈438000)摘要:本设计以单片机和FPGA构成的最小系统为核心,以89C52单片机作为控制中心,汇编语言编程。
FPGA 主芯片是Altera 公司的Cyclone 系列的EP1C6Q240C8,VHDL语言编程,设双向口,等精度测频模块,键盘编码扫描模块等。
测频模块的片外输入采作者:林军招弟任战涛指导老师:杰(黄冈师学院物理科学于技术学院林军招弟任战涛黄冈438000)摘要:本设计以单片机和FPGA构成的最小系统为核心,以89C52单片机作为控制中心,汇编语言编程。
FPGA 主芯片是Altera 公司的Cyclone 系列的EP1C6Q240C8,VHDL语言编程,设双向口,等精度测频模块,键盘编码扫描模块等。
测频模块的片外输入采作者:林军招弟任战涛指导老师:杰(黄冈师学院物理科学于技术学院林军招弟任战涛黄冈438000)摘要:本设计以单片机和FPGA构成的最小系统为核心,以89C52单片机作为控制中心,汇编语言编程。
FPGA 主芯片是Altera 公司的Cyclone 系列的EP1C6Q240C8,VHDL语言编程,设双向口,等精度测频模块,键盘编码扫描模块等。
测频模块的片外输入采用带宽运放OPA637放大,并使用TL3116 和LM311构建迟滞比较器整形为方波信号送入FPGA由可编程逻辑组建的测频单元运算;显示采用TC6963C控制液晶显示模块;等精度测量法。
实验四 等精度测频
实验四 等精度测频一、实验目的1. 掌握Quartus II 软件的基本应用。
2. 掌握Modelsim 软件的基本应用,学习通过仿真波形观察各信号逻辑关系。
3. 练习例化,多模块连接,规划小型程序结构。
4. 掌握等精度测频原理及Verilog 程序实现方法。
二、实验仪器与软件1. 电脑2. FPGA 开发板FB1393. Quartus II 软件4. Modelsim 软件三、 实验原理频率是一个基本物理量,在各种物理实验及电路设计项目中经常对频率量进行测量,通常频率测量有三种方法:测周法、定时计数法和多周期同步测频法(等精度测频)。
1. 测周法被测信号系统时钟测周法,适用于低频信号图1 测周法原理图测周法即测量一个信号周期(上升沿到上升沿)内包含的系统时钟周期的个数N ,由于系统时钟周期为已知(系统频率fsys 的倒数),因此很容易算出被测信号的周期:T = N * (1 / fsys)进而得到被测信号频率: F = fsys / N从上述公式中可以得出,测周法适用于频率较低的信号,频率越低测量精度越高,同时测量时间也越慢。
2. 定时计数法 被测信号 闸门时间t定时计数法,适用于高频信号不同步图2 定时计数法定时计数法即在一个规定时间t (闸门)内,测量被测信号的周期个数N ,则被测信号周期为:T = t/N ,频率为:F = N/t 。
从上述公式可以看出,定时计数法时候与频率较高的信号,频率越高精度越高。
3. 多周期同步测量法被测信号系统时钟预置闸门同步闸门多周期同步测量(等精度)T = scnt * (1/fsys) / ecntF = ecnt * fsys / scnt图3 多周期同步测频法 多周期同步测频法原理如图3所示,预置闸门控制单次测量时间,当预置闸门开启(高电平)时,测频并没有真正开始,而是要等到被测信号的上升沿到来,才开启同步闸门,即开始真正的测频。
同样,当预置闸门关闭(低电平)时,测频并没有被终止,而是要等到被测信号的上升沿到来,才关闭同步闸门,停止测频。
等精度测频
现代电子技术实验(EDA)等精度频率计综合报告吕佳电子信息科学与技术物电学院2010年6月20日电工电子中心2009年5月绘制等精度频率计实验总结报告一.实验内容前面简易数字频率计测量精度随着被测信号频率的不同而不同,误差1/fx ,当测量1Hz 信号时,误差可能是100%。
设计一个等精度频率计,使 测量结果与被测信号频率不同,而与基准频率有关。
首先设定一个基准频率,根据相同的时间,基准频率F b 和被测信号频率F x 计数的个数分别为N b ,N x ,利用公式NxFxNb Fb ,可以求出F x 。
二.方案设计设计方案原理框图如下:最后一个模块为数据处理部分,有两种处理方式: 1、用maxplus2自带的乘除法模块来处理数据,如下图:虽然此种方法便于理解,但是此模块的使用有限,不能够进行较高位数的运算,否则编译会出错。
2、用FPGA与单片机通信的方法,将测出来的数据送入单片机处理,然后将得出的结果送入FPGA中显示。
(后面主要介绍这种方法)。
其原理图如下:三.实验步骤第一阶段:按照等精度原理框图将各个模块生成1、D触发器:用来控制计数的开始和计数的停止,因为计数的开始和停止都是在被测频率的上升沿到来时起作用的,所以测量时间一定是被测频率的整数倍个,这就保证了被测频率所记的个数一定是整数个。
其代码如下:module mydff(D,clk,prn,clrn,Q);input D,clk,prn,clrn;output Q;reg Q;always @(posedge clk or negedge prn or negedge clrn)if(!prn) Q=1; //低电平置1else if(!clrn) Q=0; //低电平置0else Q=D;endmodule3、计数器(此处最好使用maxplus2自带的计数器)3、将计数的次数送给单片机:因为上面定义32位的计数器,所以数据传送是通过总线进行读写的,所以每次只能传送8位,所以传送一个数需要读数据,这个阶段主要有两个模块:一、将数据拆开;二、单片机从FPGA中读数据。
优秀毕业设计,等精度频率计中期报告
信通学院毕业设计(论文)中期报告大连民族学院教务处制一、设计思想频率测量在电子设计和测量领域中经常用到,因此对频率测量方法的研究在实际工程应用中具有重要意义。
常用的频率测量方法有两种:频率测量法和周期测量法。
频率测量法是在时间t内对被测信号的脉冲数N进行计数,然后求出单位时间内的脉冲数,即为被测信号的频率。
周期测量法是先测量出被测信号的周期T,然后根据频率f=1/T求出被测信号的频率。
但是上述两种方法都会产生±1个被测脉冲的误差,在实际应用中有一定的局限性。
根据测量原理,很容易发现频率测量法适合于高频信号测量,周期测量法适合于低频信号测量,但二者都不能兼顾高低频率同样精度的测量要求。
考虑到上述测量方法的局限性,以降低测量误差为出发点,本系统采用 FPGA 为核心,采用等精度测量的方法测量待测信号的频率。
等精度测量法是在标准频率比较测量法的基础上改变计数器的计数开始和结束与闸门门限的上升沿和下降沿的严格关系。
克服了待测量信号的脉冲周期不完整的问题,其误差只由标准频率信号产生,与待测量信号的频率无关。
最大误差为正负一个标准频率周期。
其频率测量范围可从 0.1Hz 到10MHz 变化,波形为方波或者正弦波。
对脉宽的测量,与频率的测量类似,以脉冲波高电平作为 FPGA 计数器的使能信号,记录期间标准信号的个数,就可得出信号的脉宽。
整个系统主要由前级的信号整形,FPGA 等精度测量和单片机的控制显示模块组成。
前级信号饱和放大后整形为方波。
采用 FPGA 的计数功能,测量出门控信号时间内待测信号的周期数和标准信号的周期数,得出待测信号的频率。
通过单片机来计算并显示出待测信号的频率、周期以及脉宽。
根据本设计的原理思想得出:信号频率测量的精度不会随着待测信号的变化而变化,只与系统的标准信号及门控时间有关,实现了整个频带内等精度测量。
二、等精度测量原理等精度测量的一个最大特点是测量的实际门控时间不是一个固定值,而是一个与被测信号有关的值,刚好是被测信号的整数倍。
等精度频率计
精度频率计的设计一摘要本设计是基于MCS-51单片机的等精度频率计。
输入信号为峰峰值5v的正弦信号,频率测量范围10HZ~100MHZ ,频率测量精度为0.1%。
采用1602液晶显示器显示测量结果。
信号源由PROTEUS 的虚拟信号发生器产生。
二关键词频率计等精度单片机分频三设计原理与总体方案测量一个信号的频率有两种方法:第一种是计数法,用基准信号去测量被测信号的高电平持续的时间,然后转换成被测信号的频率。
第二种是计时法,计算在基准信号高电平期间通过的被测信号个数。
根据设计要求测量10HZ~100MHZ的正弦信号,首先要将正弦信号通过过零比较转换成方波信号,然后变成测量方波信号。
如果用第一种方法,当信号频率超过1KHZ的时候测量精度将超出测量极度要求,所以当被测信号的频率高于1KHZ的时候需要将被测信号进行分频处理。
如果被测信号频率很高需要将被测信号进行多次分频直到达到设计的精度要求。
根据设计要求用单片机的内部T0产生基准信号,由INTO输入被测信号,通过定时方式计算被测信号的高电平持续时间。
通过单片机计算得出结果,最后有1062液晶显示器显示测量结果。
等精度频率计的系统设计框架如下图1所示。
图1 等精度频率计系统设计框图四芯片以及电路介绍硬件电路主要分为信号转换电路、分频电路、数据选择电路、单片机系统和显示电路五部分。
电平转换电路:要将正弦信号转换成方波信号可以用过零比较电路实现。
正弦信号通过LM833N与零电平比较,电压大于零的时候输出LM833N的正电源+5V,电压小于零的时候输出负电源0V。
具体电路如图2所示。
图2信号转换电路分频电路:分频电路采用十进制的计数器74HC4017来分频,当被测信号脉冲个数达到10个时74HC4017产生溢出,C0端输出频率为输入频率的1/10,达到十分频的作用。
如果当频率很高是需要多次分频只需将多片74HC4017级联就可以了。
74HC4017时序图如图3所示,系统分频电路如图4所示。
等精度频率测量报告
if(reg_clk'event and reg_clk='0')then reg_out<=reg_in;
end if; end process; end behave;
输出模块
library ieee; use ieee.std_logic_1164.all; entity shuchu is port(
if(reg_clk'event and reg_clk='0')then reg_out<=reg_in;
end if; e: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity reg2 is port(
0.2 关键词
等精度测量,单片机,频率计,闸门时间,FPGA
0.3 论证最佳方案
方案一: 基于单片机
3
方案二: 基于 FPGA。
方案选择: 由于单片机的使用不是很熟练,所以选择使用 FPGA。即方案二,如下操作
严格按照上述设想进行设计。 方案说明: 输入端: 显示器清零端 clr 外部被测信号 Fx 输出端: 以十进制的形式显示被测信号的频率 误差估计: 误差与被测频率 fx 无关,这就是等精度测量方法。
等精度频率计课程设计
等精度频率计课程设计一、课程目标知识目标:1. 学生能理解等精度频率计的基本原理,掌握频率、周期及其相互关系。
2. 学生能够运用已学过的电子元件知识,识别并说明等精度频率计中的关键电子元件及其作用。
3. 学生能够掌握等精度频率计的测量步骤和方法,并进行简单的数据处理。
技能目标:1. 学生能够通过小组合作,动手搭建简单的等精度频率计电路。
2. 学生能够运用等精度频率计进行实验测量,准确读取并记录数据。
3. 学生能够通过实验数据分析,解决实际问题,提升解决问题的能力。
情感态度价值观目标:1. 学生通过等精度频率计的学习,培养对物理科学的兴趣,提高探究精神和创新意识。
2. 学生在小组合作中,学会相互尊重、沟通协作,培养团队合作精神。
3. 学生通过实验认识到精确测量在实际应用中的重要性,培养严谨的科学态度和良好的实验习惯。
课程性质:本课程为电子技术及应用学科的教学内容,结合学生年级特点,注重理论知识与实践操作的相结合。
学生特点:学生处于高中阶段,具有一定的物理知识和电子元件基础,对实验操作感兴趣,但需进一步引导和培养实验技能。
教学要求:教师应注重理论与实践相结合,引导学生通过小组合作、实验探究等形式,提高学生的实践能力和创新能力。
在教学过程中,关注学生的个体差异,鼓励学生积极参与,使每位学生都能在课程中取得实际的学习成果。
通过课程目标的实现,为后续相关课程的学习打下坚实基础。
二、教学内容本课程教学内容主要围绕等精度频率计的原理、电路搭建、测量方法及数据处理等方面展开。
1. 理论知识:- 频率、周期概念及其关系- 等精度频率计的工作原理- 关键电子元件(如晶体振荡器、计数器、时钟等)的作用2. 实践操作:- 搭建等精度频率计电路- 实验操作步骤及注意事项- 数据的采集、处理与分析3. 教学大纲:- 第一章:等精度频率计基本原理1.1 频率与周期的关系1.2 等精度频率计的工作原理- 第二章:关键电子元件2.1 晶体振荡器的特性与应用2.2 计数器与时钟的作用- 第三章:实验操作与数据处理3.1 电路搭建与调试3.2 实验步骤与注意事项3.3 数据采集、处理与分析4. 教学进度安排:- 理论知识学习:2课时- 实践操作与实验:4课时- 数据处理与分析:2课时教学内容根据课程目标进行科学组织和系统安排,确保学生能够循序渐进地掌握等精度频率计的相关知识。
等精度频率测量(c51)
等精度频率测量
2012.4.6
KX
康芯科技
等精度频率计设计
在此完成的设计项目可达到的指标为:
(1)频率测试功能:测频范围0.1Hz~100MHz。测频精度:测 频全域相对误差恒为百万分之一。 (2)脉宽测试功能:测试范围0.1μs~1s,测试精度0.01μs 。 (3)占空比测试功能:测试精度1%~99%。
KX
康芯
KX
康芯科技
等精度频率法测量误差 Nx计数无误差, Ns最多相差 一个脉冲 结论:等精度频率法的相对误 差与被测信号频率无关,仅 与fs有关。闸门时间越长, 标准频率越高,测量相对误 差越小。
fx
Nx Nx 1 N x fs T NsTs Ns
f x N x Ts fx Nx Ts
KX
康芯科技
测周期(低频测量)
KX
康芯科技
测量公式 测量误差
Nx Nx 1 fx N x fs T NsTs Ns
f x N x Ts N x 1 fx Nx Ts Nx Nx
第一项为计数误差,第二项为晶振误差 f=1MHz,闸门T=1s,δ=10-6 f=500kHz, δ=10-6,则T=N/f=2s F=10Hz, δ=10-6,则T=N/f=10-6/10=100000s
测频率 测周期 测相位 测脉冲宽度
被测信号 放大整形 晶振 分频器
KX
康芯科技
门
控
计数
显示
0.01s, 0.1s, 1s, 10s
KX
康芯科技
测频法(高频测量>1MHz)
输入fx 门控信号T & 计数N fx=N/T
等精度频率计设计[1]
等精度频率计设计报告创新2班(电气0515班)李柱炎一、频率计的原理测量频率的方法有三种:一是测频法,原理是在给定的时间内(比如1s)的时间内,统计被测信号脉冲的个数,即可得出频率。
此方法适用于测量高频信号。
二是测周法,原理是测量信号一个周期的时间,周期的倒数即为信号的频率。
此方法适用于测量低频信号。
三是多周期同步法,等精度频率计即基于此原理。
此方法适用于各种频率。
二、等精度频率计的原理图1多周期同步法中,实际的信号闸门时间不是固定的值,而是被测信号的整周期倍,即与被测信号同步,因此消除了对被测信号计数时产生的±1个字误差,测量精度大大提高,而且达到了在整个测量频段的等精度测量,其原理框图和波形图如图1所示。
设na、nb分别为计数器a和b记得的数值,τ'为闸门时间,则na=τ'·fx(1)nb=τ'·f0(2)f X/f0=na/nb计数器a的计数脉冲与闸门的开闭是完全同步的,因而不存在±1个字的计数误差,由式(3)微分可得:dnb=±1,τ'=nb/f0(5)得到测量分辨率:dfx/fx=±1/(τ'×f0)(6)由式(6)可以看出,测量分辨率与被测频率的大小无关,仅与取样时间及时基频率有关,可以实现被测频带内的等精度测量。
取样时间越长,时基频率越高,分辨率越高。
多周期同步法与传统的计数法测频比较,测量精度明显提高。
三、等精度频率计的架构等精度频率计架构如图2所示。
频率计由一个D触发器,两个24位的计数器,控制部件,运算部件,输出转换与显示部件构成。
计数器Stcount(Counter)(上面的那个)对标准信号进行计数,计数器UKcount(Counter)(下面的那个)对被测信号进行计数。
运算部件由MoveBD、Div32、DivideIF 三个模块构成。
BinToBCD模块为输出转换与显示部件。
实验三 等精度频率计实验报告
实验三等精度频率计实验报告一.实验任务及要求(1)实验任务设计一个简易等精度频率计。
(2)实验要求:a.测量范围信号:方波幅度TTL电平;频率:1Hz~1MHzb.测试误差≤0.1%(全量程)c.闸门时间:~1s,响应时间:~2s乘除运算: FPGA显示:十进制分量程(MHZ,KHZ,HZ)二实验设计方案(1)用QuartusII的原理图输入来完成系统的顶层设计。
(2)用VHDL编写各功能模块。
(3)分量程显示输出频率。
(4)通过除法运算实现十六进制到十进制的转换输出。
其具体实现方案如下原理图三、系统硬件设计1、测试参数计算考虑Nb最大误差为:1,则由实验要求测试误差≤0.1%(全量程)则可知N B≥1000因为阈值闸门时间为1s,所以时钟发生器频率fc≥1000.由实验要求测量频率范围为:1Hz~1MHz在实验中取时钟发生器频率为1MHz2.阈值闸门脉冲发生器频率由时钟发生器频率分频得到,具体实现由VHDL创建功能模块。
原理:由时钟发生器频率控制cout(中间变量)累加,从而控制阈值闸门脉冲发生器的闸门时间和响应时间。
3.输出频率显示设置,采用乘、除法模块(LPM)设计以及用VHDL语言编写。
其中通过编写VHDL,设置其输出单位,创建功能模块lc和chang。
其中z1和z2分别控制LED1和LED2.当LED1灯亮时则输出单位为KHZ,当LED2灯亮时则输出单位为MHZ,否则为HZ。
并且通过乘除法的转换输出使数码管最后三位显示的为小数位。
通过除法模块将原十六进制输出转化为十进制输出在数码管上。
4.具体电路原理图见附录1四.系统软件设计1.分频器2.输出频率转换具体流程见附录二五.系统测试通过脉冲clk2为被测频率输入,测试实验结果。
当clk2为1HZ时,数码管显示输出频率为0.999,并且LED1和LED22盏灯都是暗的,即此时单位为HZ.当clk2为128HZ时,数码管显示输出频率为0.127,并且LED1和LED22盏灯都是暗的,即此时单位为HZ.当clk2为1024HZ时,数码管显示输出频率为1.023,并且此时灯LED1变亮,即此时单位为KHZ。
等精度频率计
顶层文件如下:
2以下是把20M 5倍频的设置,利用FPGA内部的PLL。
电路需要100M标准频率信号,FPGA提供20M的频率,所以需要建立PLL模块,使之五杯频,得到所需的100M信号。
功能仿真设置:
下图是波形仿真的结果:
3.3单片机模块
单片机模块完成对整个测频系统的控制,包括对FPGA的控制以及数码管的显示控制。测频允许信号由单片机发出,并且单片机的P0口负责循环读取FPGA发送过来的测频结果数据(BZH、TF两个计数器的计数结果,每次传送8位数据),P2负责发送控制信号,单片机可以通过结束信号了解测频记数是否结束,以确定何时开始读取数据。
wData(uchar)传入的参数意义
0 - 9数字0-9
10 - 19跟了点号的0-9
20暗选
***************************************/
static void disDelay(){//显示延时
uchar data x,y;
for(x=250;x>0;x--)
CASE ADDRESS IS
WHEN X"0"=>DATA_OUT<=SAVE_T(7 DOWNTO 0);
WHEN X"1"=>DATA_OUT<=SAVE_T(15 DOWNTO 8);
WHEN X"2"=>DATA_OUT<=SAVE_T(23 DOWNTO 16);
WHEN X"3"=>DATA_OUT<=SAVE_T(31 DOWNTO 24);
测试精度,具体指标如下:
a)具有频率测试功能:测频范围 100Hz~5MHz。测频精度:相对误差恒为基准
等精度测频法实验
等精度测频法实验基于P89V51RD2简易频率计设计参考(等精度测频法)本文采用《多周期同步测频法(即等精度测频法)》进行频率测量。
设计题要求,设计一个基于P89V51RD2的简易频率计1,使用8个LED 数码管显示测试结果2,使用8个LED 指示不同的频率量程,比如MHz 、KHz 、Hz 3,给出你的频率计测试频率所采用的方法、能够测试频率的范围,不同量程测试结果的误差、以及测量频度(每秒几次)4,系统时钟4 M (振荡频率24M )(理论上认为系统时钟100%准确,不存在误差), 5,尽量少用或不用外围器件一、基本分析1、最高被测频率确定由于系统时钟为4M ,因此最高能实现的测试频率为2M (不使用外部分频电路情况下)。
通常MCU 的I/O 口是由系统时钟同步工作I/O 口,至少需要2个系统时钟脉冲才能检测I/O 上电平的跳变。
2、最低被测频率测定通常理解最低测试频率为0。
但对于1Hz 以下的频率测试,需要的时间非常长,例如,0.5Hz 的频率测试一次至少需要2秒,0.1Hz 的频率测试一次至少需要10秒。
在本设计中考虑简化,设定最小频率测量频度为1,既每秒钟完成一次并给出和显示一次新的频率测量值。
所以本设计拟定的频率测试范围和最小测量频度为:最高测量频率≤2M最低测量频率>1Hz (1Hz 以下认为0) 最小测量频度(>0.5Hz )≈1次/秒 3、采用基本的测量方法是用MCU 频率测量脉冲频率的3种最基本方法为测频法和测周法、多周期测周法。
这里采用多周期同步测频法(即等精度测频法)。
二、测量原理、误差讨论多周期同步测频法(即等精度测频法)将时标信号0f 通过主门计数;通过被测信号控制门电路形成门控信号。
在等精度测频法中将门控信号与被测信号同步。
00, 00x x T N T N f N N f xx ?==。
多周期同步测频原理框图如下图所示。
图1工作过程和波形图如下图所示。
图2图300, 00x x T N T N f N N f xx ?== 由以上工作过程和波形图可以看出,N x 对被测信号T x 的计数是与闸门是同步的,故不存在量化(±1)误差,即x N ?=0,故xxN N ?=0。
等精度频率计_课程设计报告——DEA
目录摘要 (1)1、设计原理 (2)1.1设计目的 (2)1.2设计原理 (2)2、设计任务 (3)2.1设计任务要求 (3)2.2预置门控信息设计要求 (3)3、等精度频率计测频实现 (3)3.1预置门控信息CL的实现 (3)3.2主系统组成测频实现 (4)4、仿真波形及结果分析 (6)4.1仿真波形及分析 (6)4.1.1预置门控信息CL的仿真波形 (6)4.1.2主系统组成测频的仿真波形 (6)4.1.3门控高电平期间预测信号个数显示 (7)4.1.4门控高电平期间标准信号个数显示 (7)5、小结与体会 (7)6、参考文献 (8)等精度频率计摘要基于传统测频原理的频率计的测量精度随被测信号的频率的变化而变化,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,且在整个频率区域能保持恒定的测量精度。
在一段高电平使能端期间(时间为pr T )同时启动和关闭标准频率计数器和预测频率计数器。
预测信号的计数值为x N ,标准频率信号的计数值为s N ,则可得到测试频率为x s s x N N F F ⨯=)/(。
关键字:等精度频率计 计数器1、设计原理1.1设计目的基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,即测量精度随被测信号的频率的变化而变化,在实用中有较大的局限性,而等精度频率计不但具有较高的测量精度,且在整个频率区域能保持恒定的测量精度。
设计项目可达到指标:频率测试功能:测频范围0.1Hz~100MHz。
测频精度0.01us。
1.2设计原理等精度测评原理可以简单的用图1来说明,图1中的“预置门控信息”CL由编程控制,可以证明,在1s至0.1s间的选择范围内,CL的时间宽度,对测评精度几乎没有影响,在此,设其宽度为T。
BZH和TF模块是两个可控的32位高pr速计数器,ENA是它们的计数允许信号端,高电平有效。
标准频率信号,从BZHF:经整形后的被测信号从与BZH相似的时钟输入端BCLK输入,设其频率为s的32位计数器TF 的时钟输入端TCLK 输入,设其真实频率值为xe F ,被测频率为x F ,等精度测评原理说明如下:图1 等精度频率计原理图测频开始前,首先发出一个清零信号CLR ,使两个计数器和D 触发器置零,同时D 触发器通过信号ENA ,禁止两个计数器计数。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
等精度频率的测量设计报告
报告人:朱伯程(074100138)周哲远(074100137)
报告摘要:本文介绍了一种同步测周期计数器的设计,并基于该计数器设计了一个高精度的数字频率计。
文中给出了计数器的VHDL编码,并对频率计的FPGA实现进行了
仿真验证,给出了测试结果。
同时在分析了等精度测频在实现时存在的问题的基
础上,介绍了一种基于自适应分频法的频率测量技术,可达到简化测量电路、提高
系统可靠性、实现高精度和宽范围测量的目的
关键词:频率计VHDL FPGA 周期测量等精度自适应分频
一、实验原理
1.频率测量的几种方法:
工程上测量频率和周期的方法一般可以分为无源测频法、有源比较法、电子计数器3种。
无源测频法又可分为谐振法和电桥法,常用于频率粗测,精度在1%左右。
有源比较法可分为拍频法和差频法,前者是利用信号线性叠加,产生拍频现象,通过检测零差后现象测频,常用于低频测量,误差在零点几赫;后者是利用两个信号非线性叠加,产生差频现象,通过检测零差现象测频,常用于高频测量,误差为士20Hz左右。
可见,以上在测量范围和精度上都难以达到要求。
电子计数器的测频原理实质上以比较法为基础,它将被测信号频率人与时基信号频率相比,两个频率相比得到的结果以数字的形式显示出来。
同时,它在测量范围和精度上都能达到要求。
2.等精度测频基本原理
等精度频率测量技术又叫做多周期同步测量技术,它主要由被测信号计数器、参考信号计数器、同步闸门控制器、采样时间控制器以及运算单元等组成,工作原理下图所示。
波形图解:
根据设计任务的要求,因此我们选择用等精度测量法进行系统设计。
二、实验任务与要求
(一)任务设计一个简易等精度频率计。
(二)要求
a.测量范围信号:方波幅度:TTL电平;
频率:1Hz~1MHzb.测试误差≤0.1%(全量程)
* 闸门时间:~1s,响应时间:~2s乘除运算:
单片机、FPGA、计算器计算
三、系统总体方案设计
根据测频过程的思路,可编写相应的软件。
测频程序流程图下图所示:
根据流程图与要求,本实验的需要注意的地方:
1.计数器的位数。
由于要测量的频率范围为1Hz~1MHz。
所以可以设置计数器位数为20位。
对于基准信号的频率,选用1MHz的标准脉冲信号。
2.分频器。
首先要进行2分频。
(供粗测使用)。
再进行任意分频,供精测使用。
3.锁码器。
为的是稳定计数器的最后数据。
4.在第一次计数完成之后,要能自动对计数器进行清零。
四、系统子模块实现及仿真结果
1、首先进行分频.这里要用到自己定制的分频器
它的VHDL代码如下:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY fsd IS
PORT
(clock: IN STD_LOGIC;
fsd_num:in std_logic_vector(19 downto 0);--输入要分频的数字,比如要8分频,只要输入相应的二进制就可以了。
div_out:out std_logic);
END fsd;
ARCHITECTURE bhv OF fsd IS
BEGIN
PROCESS(clock)
VARIABLE cout:INTEGER:=0;
variable fsd_num_dec,half_fsd_num_dec:integer;
BEGIN
fsd_num_dec:=conv_integer(fsd_num);
half_fsd_num_dec:=fsd_num_dec/2;
IF clock'EVENT AND clock='1' THEN
cout:=cout+1;
IF cout<half_fsd_num_dec or cout=half_fsd_num_dec THEN div_out<='1';
ELSIF cout<fsd_num_dec THEN div_out<='0';
ELSE cout:=0;
END IF;
END IF;
END PROCESS;
end bhv;
2、接着进入同步计数阶段.包括使计数器在一个周期计完后的清零。
(计数器用的是异
步清零端。
下图中的下方的与门非门等组成的逻辑电路部分是清零控制部分)
3.锁码部分.
这里的my_latch模块是起锁码作用,这样就能使输出的数据能够稳定。
最后的exch22to20模块是把输出的23位数据转换为20位数据输出。
(由于宏模块的关系,输出的23的数据中只有20位是有用的)
4 计算部分,这部分的功能要把结果显示成十进制,并能进行分段表示.这部分的原理比
较简单,但是用到的元件却比较多,如下:
5.整体布局
五、系统测试
用TTL电平作为输入信号,并用1MHz的脉冲作为基准频率信号。
系统测试结果如下:
从实验数据上来看,测试的结果实现了频率范围在1Hz~1MHz的测量,并且测试误差≤0.1%(全量程)。
因此从这个角度来看这次设计的系统能够满足实验要求。
六、实验总结
本文设计的同步测周期计数器能够直接对被测信号进行连续的测周期计数,可以在许多频率测量的设计中取代门控计数器,使设计更加灵活。
文中采用两个同步测周期计数器设计的数字频率计,无需选择量程便可实现宽频段高精度的频率测量,并在FPGA中实现了系统
集成。
同时根据频率的不同能自动适应并测量。
并且能通过数据选择器进行分段显示数据。
本文设计的系统达到任务的要求,基本上完成了目标。
但是要完整的完成实用系统的应用,还要在一些细节上加强修改,还要精简设计。
比如元件的数量,尤其在计数部分,用的器件数远远大于前面的模块。
(这样要进行功能扩展的话会带来一些困难,比如Quartus会提示元件不够)。
而这归根到底是因为这里所用的器件都是通过宏模块产生的,而不是自己定制的,因此这些元件的一些功能会用不上而造成浪费。
参考文献:
[1]钟波,孟晓风。
一种基于自适应分频的频率测量方法及其实现。
北京航空航天大学仪器
科学与光电工程学院,北京100083
[2]李红刚,张素萍,杨林楠。
基于FPGA的高速等精度频率测量系统设计
[3]梁海文.用单片机实现双计数器多周期同步法频率测量[J〕现代电子技术,2007,30(7):137
一139.
[4]刘晓明,刘宗行,郭坷.一种全数字新型高精度频率测量方法〔J〕.电子测量技术,1997(33).
[5]王毓银。
数字电路逻辑设计(第二版)。
高等教育出版社。
2008年2月。