protel课程设计-交通灯控制器
交通灯控制器的课程设计
课程设计课题:交通灯控制器的设计一、设计目的:学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
在现代化的大城市中, 十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。
二、设计任务:1.满足如下时序要求:南北方向红灯亮时,东西方向绿灯亮,反之亦然。
2.每一方向的红(绿)黄灯共维持30秒。
3.当某一方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到数为3秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒,减到为0,红绿灯交换,一次工作循环结束,进入下一步另一方向的工作循环。
4.红绿黄灯均采用发光二极管。
5.设计由晶振电路产生1Hz标准秒信号的单元电路。
6.要求对整体电路进行仿真,观察并记录下仿真波形。
三、设计原理:交通灯有四个状态:G1 Y1 R1 G2 Y2 R2S1. 亮灭灭灭灭亮S2. 灭闪灭灭灭亮S3. 灭灭亮亮灭灭S4. 灭灭亮灭闪灭然后重复状态S1.●分频器分频器实现的是将高频时钟信号转换成底频的时钟信号,用于触发控制器、计数器和扫描显示电路。
该分频器将时钟信号分频成1HZ和4HZ的时钟信号。
●控制器控制器的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值给七段数码管的分位译码电路。
此外,当检测到为夜间模式时,手动控制点亮黄灯的二极管。
●计数器这里需要的计数器的计数范围为30-0。
计到0后,下一个时钟沿回复到30,开始下一轮计数。
此外,当检测到夜间模式时,计数器暂停计数,而系统复位信号使计数器异步清零。
四、电路设计1、分频单元电路设计2、30减计数单元电路设计3、红黄绿灯控制单元电路设计4、译码显示单元电路设计●设计流程五、实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotong isport(clk,clr:in std_logic;clk1,clk2,clk3:buffer std_logic; ---分频信号pout:out std_logic_vector(6 downto 1);---东西南北的红绿黄灯状态表示C1:out std_logic_vector(3 downto 0);---BCD码高四位表示C0:out std_logic_vector(3 downto 0));---BCD码低四位表示end jiaotong;architecture one of jiaotong issignal g1,y1,r1,g2,y2,r2:STD_LOGIC;---1表示东西方向,2表示南北方向,g,y,r分别表示绿灯,黄灯,红灯signal div:integer range 0 to 20000000; ---分频1signal divn:integer range 0 to 4000000; ---分频2,用于控制黄灯闪烁type st is(s1,s2,s3,s4);---分别表示红绿黄灯的四种组合状态signal state:st;beginC:process(clk)beginif clk'event and clk='1' then---对20HZ进行分频,1HZif(div<19999999)thendiv<=div+1;clk1<='0';else div<=0; clk1<='1';end if;if(divn<2499999)then ---用于黄灯闪烁divn<=divn+1;clk2<='0';else divn<=0; clk2<='1';end if;end if;end process;process (clk1) isvariable t:integer :=31; ---初始赋值beginif clr='1' then ---夜间时为黄灯闪烁,且为手动控制pout(6)<='0'; pout(5)<=clk2 and '1'; pout(4)<='0';pout(3)<='0'; pout(2)<=clk2 and '1'; pout(1)<='0';else if(clk1'event and clk1='1') thent:=t-1;case state is ---四种组合状态转换when s1 =>G1<='1'; Y1<='0'; R1<='0'; G2<='0';Y2<='0';R2<='1'; if(t=3) then state<=s2;else state<=s1;end if;when s2 =>G1<='0';Y1<='1';R1<='0';G2<='0';Y2<='0';R2<='1';if(t=0) then state<=s3;t:=30;else state<=s2;end if;when s3 =>G1<='0';Y1<='0';R1<='1';G2<='1';Y2<='0'; R2<='0';if(t=3) then state<=s4;else state<=s3;end if;when s4 =>G1<='0';Y1<='0';R1<='1';G2<='0';Y2<='1';R2<='0';if(t=0) then state<=s1;t:=30;else state<=s4;end if;when others =>NULL;end case;case t is ---十进制数与BCD码一一对应赋值,输出时便于七段显示译码器显示when 0 => C1<="0000";C0<="0000";when 1 => C1<="0000";C0<="0001";when 2 => C1<="0000";C0<="0010";when 3 => C1<="0000";C0<="0011";when 4 => C1<="0000";C0<="0100";when 5 => C1<="0000";C0<="0101";when 6 => C1<="0000";C0<="0110";when 7 => C1<="0000";C0<="0111";when 8 => C1<="0000";C0<="1000";when 9 => C1<="0000";C0<="1001";when 10=> C1<="0001";C0<="0000";when 11=> C1<="0001";C0<="0001";when 12=> C1<="0001";C0<="0010";when 13=> C1<="0001";C0<="0011";when 14=> C1<="0001";C0<="0100";when 15=> C1<="0001";C0<="0101";when 16 =>C1<="0001";C0<="0110";when 17 =>C1<="0001";C0<="0111";when 18 =>C1<="0001";C0<="1000";when 19 =>C1<="0001";C0<="1001";when 20 =>C1<="0010";C0<="0000";when 21 =>C1<="0010";C0<="0001";when 22 =>C1<="0010";C0<="0010";when 23 =>C1<="0010";C0<="0011";when 24 =>C1<="0010";C0<="0100";when 25 =>C1<="0010";C0<="0101";when 26 =>C1<="0010";C0<="0110";when 27 =>C1<="0010";C0<="0111";when 28 =>C1<="0010";C0<="1000";when 29 =>C1<="0010";C0<="1001";when 30 =>C1<="0011";C0<="0000";when others =>NULL;end case;end if;pout(6)<=G1; pout(5)<=clk2 and Y1;pout(4)<=R1; ---东西南北六盏灯对应pout(3)<=G2; pout(2)<=clk2 and Y2;pout(1)<=R2; end if;end process ;end one;六、测试方法与测试结果1、测试仪器:QUARTUSⅡ2、测试方法:FPGA下载验证与仿真验证3、测试结果:满足设计要求以20HZ为基准仿真:仿真结果:C1:显示30减计数的个位C0:显示30减计数的十位POUT(6):东西方向绿灯控制端POUT(5):东西方向黄灯控制端POUT(4):东西方向红灯控制端POUT(3):南北方向绿灯控制端POUT(2):南北方向黄灯控制端POUT(1):南北方向红灯控制端白天●夜间黄灯闪烁(手动控制)●设计满足了1.30秒倒数显示2.两个方向灯的交替3.黄灯在最后3秒闪烁七、讨论该电路基本上满足了设计要求,电路简单,实现容易,节省器件。
毕业设计-EDA技术交通灯控制器(课程设计)
课程设计报告课程设计:交通灯控制器一:实验目的:实现高速公路与乡间小路的交叉路口红绿灯的控制二:功能要求:1.只有在小路上发现汽车时,高速公路上的交通灯才可能变为红灯。
2.当汽车行驶在小路上时、小路的交通灯保持为绿灯,但不能超过给定的延迟时间.〔注;这段时间定义为20S时间)。
3.高速公路灯转为绿灯后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内保持高速公路绿灯(注:这段时间定义为60S)。
三:设计思路:五:VHDL源程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TrafficLight ISPORT (Clk : IN STD_LOGIC;S,Reset : IN STD_LOGIC;mg,my,mr,cg,cy,cr : OUT STD_LOGIC );END TrafficLight ;ARCHITECTURE rtl OF TrafficLight ISTYPE TrafficState IS (mgcr, mycr, mrcg, mrcy);SIGNAL current_state,next_state : TrafficState ;SIGNAL Count : STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL Clrn : STD_LOGIC ;BEGINCounter : PROCESS(clk)BEGINIF Reset = '1' OR (Clrn = '1' AND NOT(Count = "000000")) THEN Count <= "000000";ELSIF clk'EVENT AND clk = '1' THENIF NOT(s='0' AND current_state = mgcr) THEN Count <= Count + 1;END IF;END IF;END PROCESS Counter;StateTransition : PROCESS(clk,Count, current_state)BEGINIF Reset = '1' THEN next_state <= mgcr;Clrn <= '0';ELSIF clk'EVENT AND clk = '1' THENCASE current_state ISWHEN mgcr => mr<='0';mg<='1';my<='0';cr<='1';cg<='0';cy<='0';IF Count >= "111011" AND S = '1' THEN next_state <= mycr;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN mycr => mr<='0';mg<='0';my<='1';cr<='1';cg<='0';cy<='0';IF Count >= "000100" THEN next_state <= mrcg;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN mrcg => mr<='1';mg<='0';my<='0';cr<='0';cg<='1';cy<='0';IF Count >= "010011" OR S = '0' THEN next_state <= mrcy;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN mrcy => mr<='1';mg<='0';my<='0';cr<='0';cg<='0';cy<='1';IF Count >= "000100" THEN next_state <= mgcr;Clrn <= '1';ELSE Clrn <= '0';END IF;WHEN OTHERS => NULL;END CASE;END IF;END PROCESS StateTransition;PROCESS(clk)BEGINIF clk'EVENT AND clk='1' THENcurrent_state <= next_state;END IF;END PROCESS;END rtl;仿真图如下:六:实验心得:通过本次课程设计,使用进一步熟悉了MAX-PLUSLL这种EDA软件工具。
单片机课程设计报告 - 十字路口交通灯控制
宁夏大学新华学院课程考核绪论主要内容:随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。
它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。
黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。
一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。
回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。
他的建议立即得到有关方面的肯定。
于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。
交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。
模拟东西南北方向的十字路口交通灯信号控制情况。
以89C51单片机为核心芯片,采用中断方式实现控制。
本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。
和复位电路控制电路等组成,较好的模拟了交通路面的控制。
基本要求:利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。
要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。
系统的工作应符合一般交通灯控制的要求。
参考文献:[1] 张毅刚,彭喜元编著.《单片机原理与应用设计》[2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》[3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。
[4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。
目录1 绪论 (2)1.1主要内容 (2)1.2 基本要求 (3)1.3 参考文献 (3)2 设计方案简介 (5)3 系统需求分析 (6)4 单片机概述 (6)5 本设计的简要概述 (7)6 本设计主要包括几个主要的元件 (8)7 模块设计 (9)7.1硬件原理图 (9)7.2软件系统结构图 (10)7.3软件流程图 (10)8 源程序 (12)9 主要函数说明 (33)对本设计的简单评述 (34)附录A (35)附录B (35)设计方案简介:交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。
eda交通灯控制器课程设计
eda交通灯控制器课程设计课程设计:EDA交通灯控制器1. 课程背景和目标:EDA交通灯控制器是使用EDA(电子设计自动化)工具进行交通灯控制系统设计的课程。
学习该课程的目标是使学生能够熟练运用EDA工具进行交通灯控制系统设计,并能够理解交通灯控制系统的原理和设计方法。
2. 课程内容和安排:(1) 交通灯控制系统原理介绍:介绍交通灯控制系统的基本原理,包括信号机、信号控制方法和交通流量检测等。
(2) EDA工具介绍:介绍常用的EDA工具,如Verilog、VHDL等,并讲解其基本使用方法。
(3) 交通灯控制器设计流程:介绍交通灯控制器的设计流程,包括需求分析、功能设计、模块设计和系统集成等。
(4) 交通灯控制器设计实践:学生通过实践项目,使用EDA工具设计交通灯控制器。
项目涵盖设计、仿真、验证和生成等环节,学生需要独立完成设计并提交设计报告。
3. 课程教学方法:(1) 理论讲解:通过课堂讲解,介绍交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。
(2) 实践项目:学生通过实践项目,运用所学知识设计交通灯控制器,并进行仿真、验证和生成等环节。
(3) 讨论和案例分析:通过课堂讨论和案例分析,加深学生对交通灯控制系统的理解和应用能力。
(4) 指导和批评:教师对学生的设计进行指导和批评,帮助学生不断提高设计能力。
4. 评估方式:(1) 实践项目报告:学生独立完成实践项目,并提交设计报告,包括设计过程、仿真结果和验证结果等。
(2) 课堂测试:通过课堂测试检验学生对交通灯控制系统原理和EDA工具的理解程度。
(3) 课堂表现:评估学生的课堂出勤情况、学习态度和参与度等。
5. 参考教材:(1) 《交通信号控制原理与技术》高新泽(2) 《EDA与数字电路设计》陈骏等(3) 《数字电路与系统设计》刘敏衡等(4) 《系统设计自动化技术与EDA工具应用》杨学庆等以上是对EDA交通灯控制器课程设计的简要介绍。
课程内容涵盖了交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。
proteus十字路口交通信号灯控制系统仿真课程设计
目录一、项目名称 (1)二、选题背景 (1)2.1 课题背景 (1)2.2 交通灯的历史 (1)三、单片机简介 (2)四、设计基本要求和步骤 (3)4.1 基本要求 (3)4.2 设计步骤 (4)五、硬件和软件设计 (5)5.1 硬件电路图 (5)5.2 程序流程图 (6)5.3 程序运行效果图 (10)六、心得体会 (12)七、参考文献 (13)一、项目名称十字路口交通信号灯控制系统二、选题背景2.1 课题背景由于我国经济的快速发展从而导致了汽车数量的猛增,大中型城市的城市交通,正面临着严峻的考验,从而导致交通问题日益严重,其主要表现如下:交通事故频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益加深等。
日常的交通堵塞成为人们司空见惯而又不得不忍受的问题,在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。
随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。
2.2 交通灯的历史1868年12月10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了,由当时英国机械师德·哈特设计、制造的灯柱高7米,身上挂着一盏红、绿两色的提灯--煤气交通信号灯,这是城市街道的第一盏信号灯。
1914年,在美国的克利夫兰市才率先恢复了红绿灯,不过,这时已是“电气信号灯”。
稍后又在纽约和芝加哥等城市,相继重新出现了交通信号灯。
随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。
它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。
中国最早的马路红绿灯,是于1928年出现在上海的英租界。
三、单片机简介单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。
单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。
(完整word版)交通灯控制器课程设计
河南科技学院新科学院电子课程设计报告题目:交通灯控制器设计专业班级:电气工程及其自动化094姓名:胡金友时间:2011.6.8 ~2011.6.18指导教师:孔晓红苗青林完成日期:2011年6月15日交通灯控制器设计任务书1设计目的与要求设计一个交通灯控制器,要认真并准确地理解有关要求,独立完成系统设计,在双干线的路口上,交通信号灯的变化按照下面假定进行计时:(1)放行线,绿灯亮放行25秒,黄灯亮警告5秒,然后红灯亮禁止。
(2)禁止线,红灯亮禁止30秒,然后绿灯亮放行。
使两条路线交替的成为放行线和禁止线,便可实现交通控制。
(3)特殊情况下能实现手动操作。
2.设计内容(1)画出电路原理图,正确使用逻辑关系;(2)确定元器件及元件参数;(3)进行电路模拟仿真;(4)SCH文件生成与打印输出;(5)PCB文件生成与打印输出。
3.编写设计报告写出设计的全过程,附上有关资料和图纸,有总结体会。
4.答辩在规定时间内,完成叙述并回答问题。
目录1设计任务 (2)1.1交通灯控制器设计任务书 (2)1.2引言 (3)2设计方案 (3)2.1总体设计方案说明 (4)2.2模块结构与电路图 (5)3整体电路 (10)4设计总结 (11)5参考文献 (11)交通灯控制器设计摘要:为确保车辆安全,行人安全有序地通过城市交通叉路口,本设计介绍一种线路简单、成本低、体积小、可靠性高的全电子指挥信号灯控制器。
利用74LS190、74LS139、NE555等芯片简易的实现交通指挥信号灯的全自动化控制。
关键词:交通灯、计时器、控制器、秒脉冲1引言生活中跟大家关系最为密切的交通是道路,因为每个人都要走路,所以交通灯就尤其重要.交通灯是控制陆地交通的枢纽,如果没有交通灯的出现,那么现在社会人类恐怕连路都不好走了.特别是上下班高峰时候,交通就是非常拥挤,这个时候交通灯就起了关键作用.它起了分流交通作用,不会造成交通堵塞.它按照上下班高峰期、顺畅期等交通流量的不同而设置各车道的通行时间,那样以后车辆就会各就各位,不会有什么抢道和车辆碰撞啦,还有车与人争过街的事情发生啦,交通就会井然有序了,人们走路就安心多了,汽车就会更快的到达。
交通信号灯控制器
数字电子课程设计说明书交通信号灯控制器院系:电气与信息工程学院学生姓名:叶海斌指导教师:胡新晚专业:电气自动化技术班级:电气1101班完成时间:2013年4月23日前言《数字电子技术》是一门应用范围极广,发展及其迅速,具有较强实践性技术基础课,所以既要加强基础理论的系统学习,又要加强实践技术的训练。
通过实验使学生在实验方法和实验技术上得到训练,进而培养学生理论联系实际的能力。
在实际工作中,电子技术人员需要分析器件、电路的工作原理;验证器件、电路的功能;对电路进行调试、分析,排除电路故障;测试器件、电路的性能指标;设计、制作各种实用电路的样机。
所有这些都离不开实验。
此外,实验还有一个重要任务,是要培养正确处理数据,分析和综合实验结果、检查和排除故障的能力。
在信息高速发展的社会,尤其是电子与信息技术的快速发展,大规模集成电路的出现,TTL数字集成电路也得到了相应的发展。
TTL数字集成电路以其具有较高的工作速度及较低的平均功率而被广泛用于各种数字电路中。
用得最多的是CT74LS系列产品,CT74LS系列,电路通过加大电路中电阻的阻值来降低电路的功耗。
从而达到使电路既具有较高的工作速度,又有较低的平均功耗。
而且体积小,价格低廉,稳定性可靠。
本说明书主要介绍利用555芯片,CT74LS系列的这些优点,如何进行设计交通灯的,本设计主要利用到的芯片是74LS190、74LS153、74LS08、74LS04、74LS74等芯片和元件。
通过设计仿真、画原理图、画PCB图、制板和调试四个步骤完成本设计。
交通信号灯控制器的设计是数字电子技术一个基础的设计课程。
设计要求有以下五点:1、用红、黄、绿三色发光二极管作信号灯,设计制作一个交通灯控制器。
2、当A干道允许通行亮绿灯时,B干道亮红灯。
而B干道允许通行亮绿灯时,A干道亮红灯。
3、两者交替允许通行,每次放行25秒。
4、在每次由亮绿灯变成红灯时的转换过程中间,要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外。
Protel课设-交通灯
Protel课设-交通灯一、介绍在Protel课设中,我们将设计和模拟一个交通灯控制器电路。
交通灯控制器是一个重要的电子元器件,用于控制交通信号灯的工作,确保道路交通的安全和顺畅。
本文档将详细介绍设计交通灯控制器的步骤和相关要点。
二、设计原理交通灯控制器主要包括时序控制电路和信号输出电路两部分。
2.1 时序控制电路时序控制电路用于确定交通灯状态的转换顺序和时间间隔。
在交通灯控制器中,我们采取了常见的红绿灯状态转换模式,即红灯→绿灯→黄灯→红灯。
时序控制电路通常由计数器和逻辑门组成。
计数器用于计时,而逻辑门用于根据计数器的值生成控制信号。
2.2 信号输出电路信号输出电路负责将产生的控制信号转换为适当的输出信号,以供交通灯使用。
交通灯通常使用三个颜色的信号灯,即红、黄、绿。
信号输出电路通过控制这些信号灯的亮灭来实现交通灯的转换。
三、设计步骤下面是设计交通灯控制器的具体步骤:3.1 确定计时时间根据交通流量和道路长度等因素,确定红、绿、黄灯各自亮灭的时间。
通常情况下,红灯时间较长,绿灯时间较短,黄灯时间较短。
3.2 设计时序控制电路根据确定的计时时间,设计时序控制电路。
将计时时间转换为适当的时钟脉冲数,然后使用计数器和逻辑门实现状态的转换。
3.3 设计信号输出电路根据时序控制电路的输出信号,设计信号输出电路。
使用适当的电子元器件,控制交通灯的亮灭,以实现交通灯的转换。
3.4 仿真和调试使用Protel软件对设计的交通灯控制器进行仿真和调试。
检查是否符合设计要求,以及逻辑电路的正确性和稳定性。
四、Protel电路图下图展示了交通灯控制器的Protel电路图:Protel电路图Protel电路图五、实际应用交通灯控制器广泛应用于道路交通系统中,确保交通的安全和流畅。
它不仅仅用于普通道路的交通信号灯,还用于高速公路的匝道信号灯、校园的人行横道信号灯等。
因此,设计和研发交通灯控制器对于提高交通效率和保障人民生命财产安全具有重要意义。
Protel课设-交通灯
Protel应用课设任务书学生姓名:专业班级:指导教师:工作单位:武汉理工大学题目:交通灯控制电路印制板图的设计一、训练目的主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。
二、训练内容和要求1、基础课程和基本技能强化训练(1)设计一个交通灯控制电路;(2)对所设计电路的基本原理进行分析;2.绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。
可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。
3.绘制相应电路原理图的双面印刷版图*.pcb对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。
四、初始条件计算机;Microsoft Office Word 软件;PROTEL软件五、时间安排1、 2013年 6月 26日集中,作基础强化训练具体实施计划与报告格式要求的说明;学生查阅相关资料,学习电路的工作原理。
2、 2013 年 6 月27 日,电路设计与分析。
3、 2013年 6 月 27日至2010 年6 月 30日,相关电路原理图和PCB版图的绘制。
4、 2013年7 月 2 日上交基础强化训练成果及报告,进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)Abstract (2)1 Protel简介 (3)1.1 Protel的发展历程 (3)1.2 Protel 99 SE简介 (3)1.3 Protel dxp 2004简介 (5)2单元电路设计 (6)2.1单片机最小系统 (6)2.2数码管模块 (7)2.3 LED信号灯模块 (7)2.4 独立按键模块 (8)3总电路图 (9)4 绘制电路的原理图(SCH) (10)4.1 原理图的设计流程 (10)4.2 原理图的设计 (11)4.2.1创建一个项目 (11)4.2.2创建原理图文件 (11)4.2.3加载元件库 (11)4.3原理图的编辑与调整 (12)4.3.1放置导线及电源端子 (12)4.3.2标识元件 (12)4.4原理图的检查 (12)5绘制印刷电路版图(PCB) (14)5.1 PCB的设计流程 (14)5.2 双面PCB设计 (15)5.2.1 文件链接与命名 (15)5.4.2设置电路板的禁止布线区域 (15)5.4.3数据的导入 (15)5.4.4元器件的自动布局 (15)5.4.5自动布线 (16)5.4.6手工调整布线 (16)5.4.7设计规则DRC检查 (17)5.3PCB设计结果 (17)6仿真部分 (19)6.1 proteus软件介绍 (19)6.2仿真结果 (20)7总结及心得体会 (22)参考文献 (23)附录 (24)摘要随着城市化的推进,我们对交通工具的要求越来越高,希望舒适快速的交通,可是快速加快的交通负荷也给尘世交通出了比较难题,我们不难发现现在城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通事故,为了保证交通秩序和行人安全,交通部门在每条街上都安装了一组红、黄、绿交通信号灯。
proteus红绿灯课程设计
proteus红绿灯课程设计一、课程目标知识目标:1. 学生能理解并掌握交通红绿灯的工作原理及电路设计;2. 学生能运用Proteus软件绘制红绿灯电路图,并了解各元件的功能;3. 学生了解并掌握基础的数字逻辑设计,如计时器、信号灯控制等。
技能目标:1. 学生能够运用Proteus软件进行电路仿真,并分析电路的工作状态;2. 学生能够通过实际操作,检测并排除电路故障;3. 学生能够运用所学知识,完成一个简单的红绿灯控制系统的设计与实现。
情感态度价值观目标:1. 学生培养对电子科技的兴趣和热情,增强创新意识和动手能力;2. 学生在学习过程中,培养团队协作、共同探讨解决问题的良好习惯;3. 学生认识到交通信号灯在生活中的重要性,提高遵守交通规则的意识。
分析课程性质、学生特点和教学要求:本课程为电子技术实践课程,以Proteus软件为辅助工具,结合实际电路设计,培养学生动手实践能力和创新思维。
学生为初中生,具有一定的电子技术基础,对新鲜事物充满好奇。
教学要求注重理论与实践相结合,强调学生动手操作,注重培养学生的实际应用能力。
通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高学生的综合素质。
二、教学内容1. 交通信号灯原理介绍:讲解红绿灯工作原理,交通信号灯控制系统组成,以及各部分功能;教材章节:第二章 电子技术基础2. Proteus软件使用:介绍Proteus软件的基本操作,包括绘制电路图、设置元件属性、仿真调试等;教材章节:第三章 电子电路设计与仿真3. 红绿灯电路设计:讲解如何利用Proteus软件设计红绿灯电路,包括元件的选择、连接和布局;教材章节:第三章 电子电路设计与仿真4. 数字逻辑设计:介绍基础的数字逻辑设计,如计时器、信号灯控制等,并运用到红绿灯电路中;教材章节:第四章 数字电路设计5. 电路仿真与调试:指导学生进行红绿灯电路的仿真,分析电路工作状态,检测并排除故障;教材章节:第三章 电子电路设计与仿真6. 实践操作:安排学生分组进行红绿灯控制系统的制作,培养学生的动手实践能力和团队协作精神;教材章节:第五章 电子制作与调试7. 总结与拓展:对所学内容进行总结,引导学生进行拓展思考,如优化红绿灯控制系统、节能环保等;教材章节:全书教学内容安排和进度:共8课时,其中原理介绍2课时,软件使用2课时,红绿灯电路设计2课时,电路仿真与调试2课时。
课程设计报告——交通信号灯控制器
目录一、设计背景 (2)二、任务和要求 (2)三、总体设计方案 (3)1.状态设置 (3)2.系统框图 (3)a.系统总框图 (3)b.系统状态转换框图 (4)四、单元电路设计 (4)1.倒计时电路(定时电路) (4)2.状态控制器(主控电路) (5)3.交通灯显示电路、 (5)4.数码管显示 (6)5.置数变换电路 (6)6.流量控制电路 (7)五、总电路原理图 (8)六、总电路图 (9)七、原件清单 (10)八、电路连接与调试 (10)九、设计总结 (10)十、参考文献 (11)一、 设计背景在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。
因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。
交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。
有了交通灯人们的安全出行有了很大的保障。
二、任务和要求红绿灯交通信号系统外观示意图如图1所示。
1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。
2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上(主干道)绿灯亮的时间是45s ,另一个方向上(支干到)绿灯亮的时间是25s ,黄灯亮的的时间都是5s 。
3.要求加装一流量控制电路,使电路拥有流量控制功能,既:当一条路上无车,另一条路上有车时,这条路上的绿灯长亮,另一条路上红灯长亮。
三、总体设计方案1.状态设置状态1(00):主车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。
状态2(01):主车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行;状态3(10):支车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行;状态4(11):支车道的红灯亮,车道,人行道禁止通行;南北方向车的黄灯亮,车道,人行道缓行;2.系统框图a.系统总框图流量控制电路b.系统状态转换框图图2 工作流程图四、单元电路设计1.倒计时电路(定时电路)倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。
Protel课设交通灯
Protel课设交通灯学生姓名:专业班级:指导教师:工作单位:武汉理工大学题目:交通灯操纵电路印制板图的设计一、训练目的要紧目的确实是对学生进行基础课程、差不多技能、差不多动手能力的强化训练,提高学生的基础理论知识、差不多动手能力,提高人才培养的差不多素养。
二、训练内容和要求1、基础课程和差不多技能强化训练(1)设计一个交通灯操纵电路;(2)对所设计电路的差不多原理进行分析;2.绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。
能够涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。
3.绘制相应电路原理图的双面印刷版图*.pcb对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并讲明是否达到设计意图。
四、初始条件运算机;Microsoft Office Word 软件;PROTEL软件五、时刻安排1、 2019年 6月 26日集中,作基础强化训练具体实施打算与报告格式要求的讲明;学生查阅相关资料,学习电路的工作原理。
2、 2019 年 6 月27 日,电路设计与分析。
3、 2019年 6 月 27日至2018 年6 月 30日,相关电路原理图和PCB版图的绘制。
4、 2019年7 月 2 日上交基础强化训练成果及报告,进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日名目摘要 (1)Abstract (2)1 Protel简介 (3)1.1 Protel的进展历程 (3)1.2 Protel 99 SE简介 (3)1.3 Protel dxp 2004简介 (5)2单元电路设计 (6)2.1单片机最小系统 (6)2.2数码管模块 (7)2.3 LED信号灯模块 (7)2.4 独立按键模块 (8)3总电路图 (9)4 绘制电路的原理图(SCH) (10)4.1 原理图的设计流程 (10)4.2 原理图的设计 (11)4.2.1创建一个项目 (11)4.2.2创建原理图文件 (11)4.2.3加载元件库 (11)4.3原理图的编辑与调整 (12)4.3.1放置导线及电源端子 (12)4.3.2标识元件 (12)4.4原理图的检查 (12)5绘制印刷电路版图(PCB) (14)5.1 PCB的设计流程 (14)5.2 双面PCB设计 (15)5.2.1 文件链接与命名 (15)5.4.2设置电路板的禁止布线区域 (15)5.4.3数据的导入 (15)5.4.4元器件的自动布局 (15)5.4.5自动布线 (16)5.4.6手工调整布线 (16)5.4.7设计规则DRC检查 (17)5.3PCB设计结果 (17)6仿真部分 (19)6.1 proteus软件介绍 (19)6.2仿真结果 (20)7总结及心得体会 (22)参考文献 (23)附录 (24)摘要随着都市化的推进,我们对交通工具的要求越来越高,期望舒服快速的交通,但是快速加快的交通负荷也给尘世交通出了比较难题,我们不难发觉现在都市交通咨询题日益凸显严峻,专门在都市街道的十字叉路口,频繁发生交通事故,为了保证交通秩序和行人安全,交通部门在每条街上都安装了一组红、黄、绿交通信号灯。
eda课程设计-交通灯控制器的设计
题目交通灯控制器的设计摘要交通灯信号控制器通常要实现自动控制和手动控制其红绿灯的变化,基于FPGA设计的交通灯信号控制器电路简单、可靠性好。
本设计可控制2个路口的红、黄、绿三盏灯.让其按特定的规律进行变化。
利用QuartusⅡ对设计结果进行仿真,发现系统工作性能良好。
据此设计而成的硬件电路,也实现了控制要求。
关键词:交通灯自动控制手动控制目录摘要 01.概述 (2)1.1课程设计目的 (2)1.2 课程设计题目及要求 (2)1.3 实验环境 (2)2. 系统总体设计 (2)2.2系统组成 (3)3. 系统层次化设计与软件仿真 (3)3.1 系统时序发生电路 (3)3.1.2系统时序发生电路clk_gen的仿真输出波形和元件符号 (5)3.2 红绿灯计数时间选择模块 (5)3.2.1 VHDL源代码: (6)3.2.2计数时间选择模块traffic_mux的仿真输出波形和元件符号 (7)3.3定时控制电路 (7)3.3.1 VHDL源代码: (7)3.3.2 定时控制电路count_down的仿真输出波形和元件符号 (9)3.4 红绿灯信号译码电路 (9)3.4.1 VHDL源代码 (10)3.4.2 信号译码电路 (12)3.5 红绿灯交通控制器顶层电路 (13)3.5.1 VHDL源代码: (14)3.5.2 交通控制器顶层电路Traffic_TOP的仿真输出波形和元件符号 (16)4. 系统硬件仿真 (17)5.结论与体会 (18)参考文献 (18)1.概述1.1课程设计目的此次课程设计是根据《VHDL程序设计》这门课程开设的综合设计课程,要求学生利用VHDL编程语言,基于EDA开发平台Quartus 错误!未找到引用源。
,设计相应的数字系统,通过对系统进行编程、仿真、调试与实现,体验设计的全过程,进一步加深对所学基础知识的理解,培养学生将理论知识应用于实践的能力、学生自学与创新能力和分析解决实际问题的能力。
EDA课程设计报告-- 交通灯控制器
郑州航空工业管理学院电子通信工程系EDA技术及应用课程设计报告题目:交通灯控制器姓名:学号:同组成员:指导老师:年月日目录一、设计任务书二、硬件系统设计1、电路原理图1.1 CPLD核心电路1.2 数码管显示电路1.3电源电路1.4 LED指示灯电路2、管脚分配3、设计方案三、Verilog HDL 代码设计四、系统调试1、逻辑功能模块RTL级描述2、仿真图五、总结1、对本次课程设计的总结六、参考文献一、设计任务书设计要求(1)主干道通行(绿灯):支干道有车24秒;支路红灯,数码管实时显示倒计时的秒,秒计时的频率为1Hz 。
(2)主干道缓冲(黄灯):6秒,(不显示计数),秒计时的频率为1Hz 。
(3)支路通行(绿灯):20秒,主干道红灯,数码管实时显示倒计时的秒,秒计时的频率为1Hz 。
(4)支路缓冲(黄灯):6秒,不显示,秒计时的频率为1Hz 。
数码管采用动态显示。
其他要求:(1)晶振为12 MHz(2)采用CPLD 器件,为ALTERA 的EPM7064SL-44(3)采用数码管显示二、硬件系统设计1、电路原理图:1.1 CPLD核心电路1.2 数码管显示电路1.3电源电路1.4 LED指示灯电路2、管脚分配管脚分配:CPLD型号: FAMILY:MAX7000AEDEVICE:EPM7064AELC44-10sys_clk : pin 43 // 12Mhz4个LED灯: led0 ~ led3 : pin 14 16 17 188个数码管:8个位线:com0~com3(dig0~dig3):pin 34, 33,31, 29, com4~com7 (dig4~dig7):pin 28 , 27,26 , 258个数据线:seg0~seg 3: pin 36, 37 ,39, 40seg4~seg 7: pin 41, 4 , 5, 64个按键:sw0~sw3: pin 19, 20, 21, 243、设计方案三、Verilog HDL 代码设计module ds(clk,led,dig,seg);//输入input clk;//输出output[3:0] dig;output[7:0] seg;output[4:0] led;//定义变量reg[24:0] count;reg[15:0] miao;reg[4:0] leden;reg[7:0] seg_r; reg[3:0] dig_r;reg[3:0] disp_dat;reg sec;reg num;reg[1:0] flag; //计数变量reg[1:0]f2; //计数变量assign dig=dig_r;assign seg=seg_r;assign led=leden;//秒产生信号always@(posedge clk)begincount=count+1'b1;if(count==25'd2*******)begincount=25'b0;sec=~sec;endend//数码管动态扫描always@(posedge clk)begincase(count[16:15])0:disp_dat=miao[3:0];1:disp_dat=miao[7:4];2:disp_dat=miao[11:8];3:disp_dat=miao[15:12];endcasecase(count[16:15]) //选择数码管显示位0:dig_r=4'b1110;1:dig_r=4'b1101;2:dig_r=4'b1011;3:dig_r=4'b0111;endcaseendalways@(posedge clk)begincase(disp_dat)4'h0:seg_r=8'hc0;4'h1:seg_r=8'hf9;4'h2:seg_r=8'ha4;4'h3:seg_r=8'hb0;4'h4:seg_r=8'h99;4'h5:seg_r=8'h92;4'h6:seg_r=8'h82;4'h7:seg_r=8'hf8;4'h8:seg_r=8'h80;4'h9:seg_r=8'h90;default:seg_r=8'hff;endcaseendalways@(negedge sec) //数码管倒计时及指示灯显示倒计时模块beginif(flag==0)beginmiao[3:0]=miao[3:0]-1;if(miao[3:0]==4'hf)beginmiao[7:0]=8'h18; 主干道绿灯倒计时leden=5'b01101; 主绿支红flag[1:0]=4'h1; 计数转向第二步endendelse if(flag[1:0]==4'h1)beginmiao[3:0]=miao[3:0]-1'b1;if(miao[3:0]==4'hf)beginmiao[7:4]=miao[7:4]-1'b1;if(miao[7:4]==4'hf)beginleden[4:2]=3'b110; 主干道黄灯flag[1:0]=4'h2;miao[7:0]=8'h06; 黄灯倒计时endelse miao[3:0]=4'h9;endendelse if(flag[1:0]==4'h2)beginmiao[3:0]=miao[3:0]-1'b1;if(miao[3:0]==4'hf)beginmiao[7:4]=miao[7:4]-1'b1;if(miao[7:4]==4'hf)beginleden[4:2]=3'b101; 主干道红灯flag[1:0]=4'h3;miao[7:0]=8'h20;endelse miao[3:0]=4'h9;endendelse if(flag[1:0]==4'h3)beginmiao[3:0]=miao[3:0]-1'b1;if(miao[3:0]==4'hf)beginmiao[7:4]=miao[7:4]-1'b1;if(miao[7:4]==4'hf)beginleden[4:2]=3'b011; 主干道绿灯返回flag==1flag[1:0]=4'h1;miao[7:0]=8'h18;endelse miao[3:0]=4'h9;endendelse flag[1:0]=4'h0;if(f2==0)beginmiao[11:8]=miao[11:8]-1;if(miao[11:8]==4'hf)beginmiao[15:8]=8'h24;//leden=6'b011110;f2[1:0]=4'h1;endendelse if(f2[1:0]==4'h1)beginmiao[11:8]=miao[11:8]-1'b1;if(miao[11:8]==4'hf)beginmiao[15:12]=miao[15:12]-1'b1;if(miao[15:12]==4'hf)beginleden[2:0]=3'b110;f2[1:0]=4'h2;miao[15:8]=8'h14;endelse miao[11:8]=4'h9;endendelse if(f2[1:0]==4'h2)beginmiao[11:8]=miao[11:8]-1'b1;if(miao[11:8]==4'hf)beginmiao[15:12]=miao[15:12]-1'b1;if(miao[15:12]==4'hf)beginleden[2:0]=3'b011;f2[1:0]=4'h3;miao[15:8]=8'h06;endelse miao[11:8]=4'h9;endendelse if(f2[1:0]==4'h3)beginmiao[11:8]=miao[11:8]-1'b1;if(miao[11:8]==4'hf)beginmiao[15:12]=miao[15:12]-1'b1;if(miao[15:12]==4'hf)beginleden[2:0]=3'b101;f2[1:0]=4'h1;miao[15:8]=8'h24;endelse miao[11:8]=4'h9;endendelse f2[1:0]=4'h0;endendmodule四、系统调试1、逻辑功能模块RTL级描述VCC clk INPUT dig[3..0]OUTPUT seg[7..0]OUTPUT led[4..0]OUTPUT clk dig[3..0]seg[7..0]led[4..0]dsinst仿真图五、总结在做本课程设计的过程中,从程序编写到硬件实现,我们遇到了许多问题。
EDA课程设计报告-交通灯控制器
.EDA课程设计报告交通灯控制器一、设计任务要求1、控制器按东西、南北两个方向控制两组交通灯(红、绿、黄)2、两组灯亮的顺序满足交通安全的规则要求.3、东西向绿灯每次亮30S ,接着黄灯亮2S ,红灯亮20S ;南北向绿灯每次亮28S ,接着黄灯亮2S ,红灯亮30S. 4、有两组数码管给出灯亮的时间倒计时显示。
二、设计思路及总体结构框图设计思路:1.硬件:由设计任务要求可知,总体输入电路有:(1)在开始计时之前的等待状态,复位键reset 接低电位,接通电源后,首先要将它接高电位,表示计时开始。
(2)当按一下(on_off )键,表示紧急情况发生,两个方向均为红灯亮,计时停止,当再次按下(on_off )键时,控制器恢复原来状态,正常工作。
输出电路:(1)由于东西和南北方向都要显示时间,因此需要4个数码管,这样在设计中就需要四条输出线choose4,用来选通指定一个LED 七段显示数码管。
(2)显示器的每一位都采用LED 七段显示数码管进行显示,每一个LED 七段显示数码管都要有七条输出线控制,一共使用4个七段数码管,故输出电路使用四个七位输出信号:showtime1,showtime2,showtime3,showtime4。
(3)东西和南北方向都有交通灯亮的情况,故输出电路中要有两个状态控制信号state1,state2分别控制东西和南北的灯,每个方向上有4个灯(增加了左、右转弯显示控制功能),所以state1,state2的类型应该是4位数组型的。
外部电路图如下:clk 4/ stas reset on_off 4/ 7/ 7/ 7/ 7/ 4/State1State2Showtime1Showtime2Showtime3Showtime4Choose4东西方向交通灯南北方向交通灯2.软件:(1)在VHDL设计描述中,采用自顶向下的设计思路,该思路,首先要描述顶层的接口,上面的描述已经规定了交通灯控制的输入输出信号:输入信号:复位开关信号reset;紧急情况控制信号on_off;外部时钟信号clk。
交通灯控制器+数字电路课程设计报告
交通灯控制器+数字电路课程设计报告交通灯控制器+数字电路课程设计报告一、设计目标本次课程设计的设计目标是利用数字电路设计交通灯控制器,实现对交通灯进行自动的控制,提高道路交通的效率和安全性。
二、设计内容本次设计的交通灯控制器采用现代电路设计的原理,实现了对交通灯的控制和自动切换,有以下功能:1. 实现三种不同颜色的信号灯:红灯、黄灯和绿灯。
2. 利用计数器实现交通灯的自动切换控制,随时切换信号灯的颜色,使道路交通流畅。
3. 能够对于不同的交通流量实现交通灯的智能控制,即根据不同的情况自动调整信号灯时间。
4. 具备故障检测和报警功能。
当交通灯控制器出现故障时,有报警提示。
三、设计理论本次课程设计采用数字电路设计原理,包括计数器、时钟电路、触发器、复用器、与门和非门等组成。
计数器是本次设计的核心部件,它能够在收到时钟信号的回馈下,实现对控制器状态的计数和调整。
时钟电路在控制器的逻辑电路中起到非常重要的作用,它能够实现对整个数字电路的时序控制,使各个部件按照一定的顺序进行工作。
触发器是本次设计中比较重要的逻辑电路,它能够实现存储、延时和状态保持等功能,是数字电路设计中经常用到的重要元件。
复用器是用于选择多输入端中的一个,并将其送到输出端的数字电路,本次设计中用到复用器,是为了实现信号灯的自动切换控制,对于信号灯三种颜色的选择进行切换。
与门和非门是数字电路中比较简单的逻辑门电路,这次设计主要用于实现交通灯智能控制的逻辑判断,实现不同情况下的信号灯切换时间自动调整。
四、设计步骤1. 确定设计元件:采用计数器、时钟电路、触发器、复用器、与门和非门等元件实现对交通灯的控制。
2. 确定电路逻辑:设计交通灯的流程图,实现对应的电路逻辑功能。
3. 进行电路布线:将设计好的逻辑系统以实际的电气元件进行实现和构造化。
4. 进行电气测试:对实际布线进行电气测试,检查元件是否在运行中正确地工作。
5. 对不足之处进行改进:根据测试结果进行适当优化和改进,确保系统在实际使用中能够正常运行。
单片机课程设计报告书---交通灯控制电路设计
交通灯控制电路设计一、选题背景交通灯控制系统是城市道路管理中极为重要的一个环节,其在加强道路交通管理,减少交通事故的发生,提高道路使用效率等方面具有不可替代的作用。
近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制技术日益更新。
本文将介绍一种用单片机作为系统的主控单元,通过单片机嵌入软件程序来实现交通信号灯的多重控制方式,整个系统以STC89C52RC单片机为核心加以晶振电路、复位电路、电源电路构成系统的控制枢纽,系统状态显示系统采用7段LED数码管进行倒计时的现实,红、黄、绿三色LED灯作为信号指示。
系统除基本的交通灯功能外,还具有倒计时、紧急情况处理等功能,较好的模拟实现了十字路口出现的状况。
本系统性能稳定,功能完善,实用性强。
二、方案论证(设计理念)1.主要内容用单片机系统设计十字路口交通灯控制电路,要求东西方向的红、黄、绿灯和南北方向的红、黄、绿灯按照下面的工作时序进行工作,黄灯亮时应为闪烁状态:(1)南北和东西车辆交替进行,各通行时间 24 秒(2)每次绿灯变红灯时,黄灯先闪烁 4 秒,才可以变换运行方向。
(3)十字路口要有数字显示作为时间提示,以倒计时按照时序要求进行显示;具体为:当某方向绿灯亮时,置显示器为某值,然后以每秒减 1 计数方式工作,直至减到数为“0”,十字路口红、绿等交换,一次工作循环结束,而进入下一步某方向的工作循环。
(4)可以手动调整和自动控制,夜间为黄灯闪耀状态2.教学要求选择适当元器件设计单片机外围电路、由单片机系统完成二十四进制倒计时、四进制倒计时、显示及模式切换逻辑控制等;仿真实现各电路功能;搭建、调试电路实现设计要求的功能;掌握复杂数字电路的一般设计方法,具备初步的独立设计能力;掌握对电子线路进行仿真调试的方法和技能;掌握实现电路的实验方法和电路的调试方法。
3.方案设计与选择3.1交通信号控制原理交通信号控制原理是按照一定的控制程序,在交叉路口的每个方向上通过红、黄、绿三色灯循环显示,指挥交通流,在时间上实施隔离。
最新太原理工大学-eda课程设计-交通灯控制器
本科实验报告课程名称:CPLD/FPGA应用设计课设题目:交通灯控制器交通灯控制器一、设计要求设计一个由一条主干道和一条支干道的十字路口的交通灯控制器,具体要求如下:(1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。
(2) 主干道处于常允许通行状态,而支干道有车来才允许通行。
当主干道允许通行亮绿灯时,支干道亮红灯。
而支干道允许通行亮绿灯时,主干道亮红灯。
(3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,由亮绿灯变成亮红灯转换时,先亮5 s的黄灯作为过渡,并进行减计时显示。
二、设计方案1基本原理(1) 设置支干道有车开关SB。
(2) 系统中要求有45秒、25秒和5秒三种定时信号,需要设计三种相应的计时显示电路。
计时方法为倒计时。
定时的起始信号由主控电路给出,定时时间结束的信号输入到主控电路。
(3) 主控制电路的输入信号一方面来自车辆检测,另一方面来自45秒、25秒、5秒的定时到信号;输出有计时启动信号(置计数起始值)和红绿灯驱动信号。
(4) 状态转移如图所示,用状态机描述。
状态转移图(5) 模块结构模块结构图2设计框图交通灯控制器原理框图如下图所示,包括置数模块、计数模块、主控制器模块和译码器模块。
置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。
其中,核心部分是主控制模块。
三、实验设备计算机一台操作系统:WINDOWS XP软件: ispDesignEXPERT System硬件: 1016E开发板四、设计步骤1打开ispEXPERT软件,建立一个新的工程JTD单击菜单File→New Project,输入工程路径,工程名2建立VHDL文件单击File→New菜单项,选择VHDL File选项,单击OK按钮以建立VHDL文件,分别建立主控制器模块程序的vhd文件JTDKZ.vhd、计数器模块程序的vhd 文件jsq.vhd、七段译码器程序的vhd文件yima7.vhd和主程序的vhd文件zhu.vhd。
protel课程设计交通灯控制器
成绩评定表课程设计任务书目录1 课程设计目的 (1)2 总体方案的设计 (1)3 单元电路的设计 (3)3.1 秒脉冲产生电路 (3)3.2 计时器部分电路 (4)3.3 主控电路 (5)3.4 红绿灯显示电路 (5)4 protel软件实现过程 (6)4.1 protel 99 SE简介 (6)4.2 protel制作电路图 (7)4.2.1 绘制电路的原理图(*.SCH) (7)4.2.2 元件封装 (10)4.2.3 原理图的检查 (10)4.3 pcb双面印刷版制作 (11)4.3.1 创建pcb文件 (11)4.3.2 生成网络表 (12)4.3.3 设置pcb设计环境 (13)4.3.4 布置零件封装位置 (13)4.3.5自动布线 (15)5 设计体会 (17)6 参考文献 (18)1 课程设计目的1. 综合应用数字电路知识设计一个交通灯控制器。
了解各种元器件的原理及其应用。
2. 深入了解交通灯的工作原理。
3. 熟悉protel环境。
绘制交通灯控制器电路原理图*.sch和相应的双面印刷板图*.pcb。
4. 锻炼自己的动手能力和实际解决问题的能力。
2 总体方案的设计(1)通过分析系统的逻辑功能,画出其机构框图如图1。
交通灯控制系统的原理框图如图1所示。
它主要由秒脉冲信号发生器、定时器、控制器、译码器和计时显示电路等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 系统的原理框图(2)具体分析1. 东西干道绿灯亮,南北干道红灯亮。
南北干道禁止通行。
绿灯亮规定的时间隔30s时,转到下一工作状态。
2. 东西干道黄灯亮,南北干道红灯亮。
南北干道禁止通行。
黄灯亮足规定时间间隔2s时,转到下一工作状态。
3. 东西干道红灯亮,南北干道绿灯亮。
表示东西干道禁止通行,南北干道上的车辆允许通行绿灯亮规定的时间间隔30s时,转到下一工作状态。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
成绩评定表课程设计任务书目录1课程设计目的 (1)2总体方案的设计 (1)3单元电路的设计 (3)3.1秒脉冲产生电路 (3)3.2计时器部分电路 (4)3.3主控电路 (5)3.4红绿灯显示电路 (5)4 protel软件实现过程 (6)4.1protel 99 SE简介 (6)4.2protel制作电路图 (7)4.2.1绘制电路的原理图(*.SCH) (7)4.2.2元件封装 (10)4.2.3原理图的检查 (10)4.3pcb双面印刷版制作 (11)4.3.1创建pcb文件 (11)4.3.2生成网络表 (12)4.3.3设置pcb设计环境 (13)4.3.4布置零件封装位置 (13)4.3.5自动布线 (15)5设计体会 (17)6参考文献 (18)1课程设计目的1. 综合应用数字电路知识设计一个交通灯控制器。
了解各种元器件的原理及其应用。
2. 深入了解交通灯的工作原理。
3. 熟悉protel环境。
绘制交通灯控制器电路原理图*.sch和相应的双面印刷板图*.pcb。
4.锻炼自己的动手能力和实际解决问题的能力。
2总体方案的设计(1)通过分析系统的逻辑功能,画出其机构框图如图1。
交通灯控制系统的原理框图如图1所示。
它主要由秒脉冲信号发生器、定时器、控制器、译码器和计时显示电路等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 系统的原理框图(2)具体分析1. 东西干道绿灯亮,南北干道红灯亮。
南北干道禁止通行。
绿灯亮规定的时间隔30s时,转到下一工作状态。
2. 东西干道黄灯亮,南北干道红灯亮。
南北干道禁止通行。
黄灯亮足规定时间间隔2s时,转到下一工作状态。
3. 东西干道红灯亮,南北干道绿灯亮。
表示东西干道禁止通行,南北干道上的车辆允许通行绿灯亮规定的时间间隔30s时,转到下一工作状态。
- 1 -4. 东西干道红灯亮,南北干道黄灯亮。
表示东西干道禁止通行,黄灯亮足规定的时间间隔2s时,电路又转换到第种1工作状态。
交通灯以上4种工作状态的转换是由控制器器进行控制的。
设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S2、S3表示,则控制器的工作状态及功能如表所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。
并作如下规定:控制状态信号灯状态车道运行状态S0(00)东西干道绿,南北干道红东西干道通行,南北干道禁止通行S1(01)东西干道黄,南北干道红东西干道停车,南北干道禁止通行S2(11)东西干道红,南北干道绿东西干道禁止通行,南北干道通行S3(10)东西干道红,南北干道黄东西干道禁止通行,南北干道停车其状态表为:表1设: A: 表示东西干道绿灯亮的时间间隔为25秒,定时时间到A=1,否则,A=0。
B:表示南北干道绿灯亮的时间间隔为25秒,定时时间到B=1,否则,B=0。
C:表示黄灯亮的时间间隔为5秒,定时时间到C=1,否则,C=0。
- 2 -- 3 -状态图如下C=0 图2 工作状态转换图 B=03 单元电路的设计3.1 秒脉冲产生电路555是一个能产生稳定而精确的时间延迟和频率的控制器。
有触发和复位功能。
外接电阻、电容各一个就能精确控制时间延迟。
作为振荡工作时,振荡频率和占空因子由二个外接电阻和一个外接电容精确控制。
图3 秒脉冲产生电路原理图电路图如图4所示图43.2计时器部分电路74LS190是单时钟同步十进制加/减计数器,当U /D=0时做加法计数;当U /D=1时做减法计数。
引出端符号及功能: MAX/MIN进位输出/错位输出端; CLK 时钟输入端(上升沿有效); CTEN 计数控制端(低电平有效); A~D 并行数据输入端;LOAD 异步并行置入控制端(低电平有效);QA~QD 输出端;~RCO并行波时钟输出端(低电平有效); U /D 加/减计数方式控制端。
表2本课设通过用两片74LS190级联实现交通灯控制电路的倒计时功能.倒计时显示部分通过BCD—7段字形译码器和共阳极7段发光二极管显示器相连来- 4 -实现。
电路图结果如图5所示。
图53.3主控电路控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。
本课程设计用二—十进制计数器74LS190实现,采用反馈归零法构成四进制计数器,即可从输出端QB、QA得到所要求的4个状态。
输入端为计数器数值为5、0时产生的两个信号相与得到一个控制信号。
3.4 红绿灯显示电路译码器的主要任务是将控制器的输出 QA、 QB的4种工作状态,翻译成甲、乙车道上6个信号灯的工作状态。
控制器的状态编码与信号灯控制信号之间的关系如表2所示。
表中A、B代表甲、乙车道。
- 5 -表3由计数器部分电路产生的控制信号脉冲脉冲,送给控制器的74LS190芯片。
从而使控制器状态发生转换。
电路原理图如图6所示。
图64 protel软件实现过程4.1 protel 99 SE简介Protel99SE是Protel公司在80年代末推出的EDA软件,应用广泛功能强大,是个完整的板级全方位电子设计系统。
它包含了电原理图绘制、模拟电路与数字电路混合信号- 6 -仿真、多层印制电路板设计(包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能。
Protel 99 SE不仅在绘制原理图、PCB版布局布线等方面功能更加完善,而且为用户提供功能强大、使用方便的仿真器,它可以对当前所画的电路原理图进行即时仿真,因此在电路的整个设计周期都可以仿真查看和分析其性能指标,以便及时发现设计中存在的问题并加以改正,从而更好的完成电路设计。
Protel99se软件中提供了SIM99se数模混合仿真器集成软件可以对许多电子线路进行模拟设计,模拟运行,反复修改。
提供了接近6000个仿真元件和大量的数学模型,可以对电工电路,低频电子线路、高频电子线路和脉冲数字电路在一定范围内进行仿真分析。
仿真结果以多种图形方式输出,直观明了,可以单图精细分析,也可以多图综合比较分析、并可通过不同的角度进行分析,以获得对电路设计的准确判断。
Protel 99 se仿真方面其具有的特点有:强大的分析功能、丰富的信号源、充分的仿真模型库、友好的操作界面。
4.2 protel制作电路图4.2.1 绘制电路的原理图(*.SCH)(1) 创建一个项目首先启动protel 99 SE 系统。
如图7所示,创建一个项目,名称为JiaoTongDeng.ddb。
图7- 7 -单机【OK】,显示结果如图8图8(2) 创建原理图文件(*.SCH)打开Documents文件夹,单击右键,选择New,结果如图9所示图9选择Schematic Document文件夹,单击【OK】,结果如图10所示图10(3) 添加元件库执行菜单命令【Dsign】/【Add/Remove Library】,单击Add按钮添加所需要的元件库。
本课程设计需要从【Protel DOS Schematic】库中添加NE555定时器;从【sim】库中添加7段数码管AMBERCA、BCD—7段字形译码器7446和十进制加/减计数器74LS190;从【Miscellaneous Device】库中添加LED、电阻、电容、与或非门逻辑器件。
(4)按设计的电路原理图添加元件并连接电路,结果如图11所示图114.2.2 元件封装双击元件,为每个元件设置封装号和元件名称。
电阻R1的封装号如图12所示。
图12电阻R1~R8的封装号相同。
无极性1的封装号为RAD0.1,有极性电容C2的封装号为POLAR0.8,LED的封装号为DIODE0.4,集成芯片的封装号再添加元件时自动给出。
4.2.3 原理图的检查原理图绘制完成后,要进行检查。
因为原理图与其他的图不同,不是简单的电路的点和线,而是代表着实际的电器元件和它们之间的相互连接。
因此,它们之间不仅仅具有一定的拓扑结构,还必须遵循一定的电气规则。
电气规则检查(ERC)是进行电路原理图设计过程中非常重要的步骤之一;原理图的电气规则检查是发现一些不应该出现的短路、开路、多个输出端子短路和未连接的输入端子等。
电气规则检查还对原理图中所用元件里,若有元件输入端有定义,则对该元件的输入端进行是否有信号源的检查,若没有直接信号源,系统会提出警告。
做好的办法就是在该端放置“NO ERC”。
执行菜单命令【Tools】/【ERC】,对原理图进行电气特性检查,运行结果如图13所示。
图13结果显示电路原理图无电气特性错误。
4.3 pcb双面印刷版制作印制电路板,又称印刷电路板、印刷电路板,简称印制板,英文简称PCB (printed circuit board )或PWB(printed wiring board),以绝缘板为基材,切成一定尺寸,其上至少附有一个导电图形,并布有孔(如元件孔、紧固孔、金属化孔等),用来代替以往装置电子元器件的底盘,并实现电子元器件之间的相互连接。
由于这种板是采用电子印刷术制作的,故被称为“印刷”电路板。
习惯称“印制线路板”为“印制电路”是不确切的,因为在印制板上并没有“印制元件”而仅有布线。
它是重要的电子部件,是电子元器件的支撑体。
4.3.1 创建pcb文件(1) 立空白PCB文件。
执行菜单命令【File】/【New】/【PCB】,即可完成PCB文件的建立。
(2)命名PCB文件在PCB编译环境中,执行菜单命令【File】/【Save As…】将“PCB1”更名为“jiaotongdeng”。
新创建的PCB编辑界面如图14所示。
图144.3.2 生成网络表打开原理图编辑窗口,执行菜单命令【Dsign】/【create Netlist】,如图15所示。
图15单击【OK】,运行结果如图16所示。
图164.3.3 设置pcb设计环境(1) 进入PCB系统后的第一步就是设置PCB设计环境,包括设置格点大小和类型,光标类型,版层参数,布线参数等等。
大多数参数都可以用系统默认值,而且这些参数经过设置之后,符合个人的习惯,以后无须再去修改。
(2) 规划电路版,主要是确定电路版的边框,包括电路版的尺寸大小等等。
在需要放置固定孔的地方放上适当大小的焊盘。
对于3mm 的螺丝可用6.5~8mm 的外径和3.2~3.5mm 内径的焊盘对于标准板可从其它板或PCB izard 中调入。
(3) 在绘制电路版地边框前,一定要将当前层设置成Keep Out层,即禁止布线层。
4.3.4 布置零件封装位置Protel99可以进行自动布局,也可以进行手动布局。
如果进行自动布局,运行"Tools"下面的"Auto Place",用这个命令,你需要有足够的耐心。