温度测量与控制电路61950

合集下载

温度测量与控制电路

温度测量与控制电路

温度是一个与人们生活和生产密切相关的重要物理量。

温度的测量和控制技术应用十分广泛。

在工农业生产和科学研究中,时常需要对某一系统的温度进行测量,并能自动的控制、调节该系统的温度。

本设计主要结合摹拟电子技术和数字电子技术的基本知识来实现温度测量与控制,温度测量电路运用铂热电阻温度传感器,控制电路是通过两个电压比较电路来实现,声光报警装置采用 LED 和蜂鸣器构成。

工作原理主要是利用温度传感器把系统的温度通过A\D 转换电路将电信号转换成数字信号,并通过与之连接的译码电路中显示出来,译码显示部份应用有内置译码器的四输入数码管完成,而 8 位二进制数到 8421BCD 码的转换由 74185 来实现。

同时电压信号通过电压比较器与输入电压比较决定输出是高电平或者是低电平,进而控制下一个电路单元的工作状态。

调温控制电路中,测量温度大于设定温度时,控制电路接通降温设备对其降温,测量温度小于设定温度时,控制电路接通加热设备对其加热。

报警系统是将测量温度与上下限温度通过电压比较器比较。

温度传感器差动放大电路二阶低通有源滤波器 A/D 转换电压比较器控制温度声光报警1. 测量温度范围为 20℃~165℃,精度 0.50℃;2. 被测量温度与控制温度均可数字显示;3. 控制温度连续可调;4. 温度超过设定值时,产生声光报警。

1.方案比较方案一:系统方框图如图 1 所示, 温度传感器测量被测量的温度, 转换成电压信号后经过滤波消 除干扰信号, 放大电路将所测信号幅度与后续电路的工作范围做一匹配, 所得实用信号经过 A/D 转换专职转换成数字信号。

此数字信号经三条路径:其一,进入超限报警装置与所设定 的温度范围进行比较,若超限则发出声光报警;其二,经过码制转换后进入数码管显示当前 所测温度; 其三, 进入数字比较器与输入的控制温度进行比较, 产生温度控制机构的工作信 号, 同时显示输入的控制温度。

此系统可以对被测体的温度进行实时跟踪测量, 并进行有效 控制,总体上实现了温度的测量与控制。

温度检测电路工作原理及各器件的参数

温度检测电路工作原理及各器件的参数

温度检测电路工作原理及各器件的参数在空调整机上,常用到温度传感器检测室内、外环境温度和两器盘管温度,下面根据常用温度检测电路介绍其工作原理及注意事项。

1.电路原理图2. 工作原理简介温度传感器RT1(相当于可变电阻)与电阻R9形成分压,则T端电压为:5×R9/(RT1+R9);温度传感器RT1的电阻值随外界温度的变化而变化,T端的电压相应变化。

RT1在不同的温度有相应的阻值,对应T端有相应的电压值,外界温度与T端电压形成一一对应的关系,将此对应关系制成表格,单片机通过A/D采样端口采集信号,根据不同的A/D值判断外界温度。

3. 各元器件作用及注意事项3.1 RT1与R9组成分压电路,R9又称标准取样电阻,该电阻不可随意替换,否则会影响控温精度。

3.2 D7与D8为钳位二极管,确保输入T端电压不大于+5V、不小于0V;但并不是所有情况下均需要这两个二极管,当RT1引线较短时可根据实际情况不使用这两个二极管。

3.3 E5起到平滑波形的作用, 一般选10uF/16V电解电容,当RT1引线较长时,要求使用100uF/16V电解电容;若E5漏电,T端电压就会被拉低,导致:制冷时压缩机不工作,制热时压缩机不停机。

3.4 R11和C7形成RC滤波电路,滤除电路中的尖脉冲;C7同样会出现E5故障现象。

3.5 电路中,RT1就是我们常说的感温头,实际上它是一个负温度系数热敏电阻,当温度升高时它的阻值下降,温度降低时阻值变大。

50℃时,阻值为3.45KΩ。

25℃时,为10KΩ;0℃时,为35.2KΩ 。

具体温度与阻值的关系见附表。

若RT1开路或短路,空调器不工作,并显示故障代码;若RT1阻值发生漂移(大于或小于标准阻值)则空调器压缩机或关或常开或出现保护代码。

空调温度传感器原理及故障分析空调温度传感器为负温度系数热敏电阻,简称NTC,其阻值随温度升高而降低,随温度降低而增大。

25℃时的阻值为标称值。

NTC常见的故障为阻值变大、开路、受潮霉变阻值变化、短路、插头及座接触不好或漏电等,引起空调CPU检测端子电压异常引起空调故障。

温度检测电路工作原理及各器件的参数

温度检测电路工作原理及各器件的参数

温度检测电路工作原理及各器件的参数在空调整机上,常用到温度传感器检测室内、外环境温度和两器盘管温度,下面根据常用温度检测电路介绍其工作原理及注意事项。

1.电路原理图2. 工作原理简介温度传感器RT1(相当于可变电阻)与电阻R9形成分压,则T端电压为:5×R9/(RT1+R9);温度传感器RT1的电阻值随外界温度的变化而变化,T端的电压相应变化。

RT1在不同的温度有相应的阻值,对应T端有相应的电压值,外界温度与T端电压形成一一对应的关系,将此对应关系制成表格,单片机通过A/D采样端口采集信号,根据不同的A/D值判断外界温度。

3. 各元器件作用及注意事项3.1 RT1与R9组成分压电路,R9又称标准取样电阻,该电阻不可随意替换,否则会影响控温精度。

3.2 D7与D8为钳位二极管,确保输入T端电压不大于+5V、不小于0V;但并不是所有情况下均需要这两个二极管,当RT1引线较短时可根据实际情况不使用这两个二极管。

3.3 E5起到平滑波形的作用, 一般选10uF/16V电解电容,当RT1引线较长时,要求使用100uF/16V电解电容;若E5漏电,T端电压就会被拉低,导致:制冷时压缩机不工作,制热时压缩机不停机。

3.4 R11和C7形成RC滤波电路,滤除电路中的尖脉冲;C7同样会出现E5故障现象。

3.5 电路中,RT1就是我们常说的感温头,实际上它是一个负温度系数热敏电阻,当温度升高时它的阻值下降,温度降低时阻值变大。

50℃时,阻值为3.45KΩ。

25℃时,为10KΩ;0℃时,为35.2KΩ 。

具体温度与阻值的关系见附表。

若RT1开路或短路,空调器不工作,并显示故障代码;若RT1阻值发生漂移(大于或小于标准阻值)则空调器压缩机或关或常开或出现保护代码。

空调温度传感器原理及故障分析空调温度传感器为负温度系数热敏电阻,简称NTC,其阻值随温度升高而降低,随温度降低而增大。

25℃时的阻值为标称值。

NTC常见的故障为阻值变大、开路、受潮霉变阻值变化、短路、插头及座接触不好或漏电等,引起空调CPU检测端子电压异常引起空调故障。

中等精度(0.1℃)温度测量电路设计(热敏二极管)汇编

中等精度(0.1℃)温度测量电路设计(热敏二极管)汇编

课程设计题目中等精度(0.1℃)温度测量电路设计(热敏二极管)一、对题目的认识和理解温度测量与控制电路是在实际应用中相当广泛的测量电路。

本次设计主要运用基本的模拟电子技术和数字电子技术的知识,同时综合温度传感器的相关应用,从基本的单元电路出发,实现了温度测量与控制电路的设计。

温度传感器是通过物体随温度变化而改变某种特性来间接测量的。

不少材料、元件的特性都随温度的变化而变化,所以能作温度传感器的材料相当多。

温度传感器随温度而引起物理参数变化的有:膨胀、电阻、电容、而电动势、磁性能、频率、光学特性及热噪等等。

随着生产的发展,新型温度传感器还会涌现。

PN结温敏二极管是一种新型感温元件。

它与传统的测温元件相比,具有线性好,灵度高,响应快,稳定性好,不需要冷端补偿,使用方便等特点。

二、方案设计与认证方案一:铂电阻测温是利用其电阻和温度成一定函数关系而制成的温度传感器,由于其测量准确度高、测量范围大、复现性和稳定性好等,被广泛用于中温范围的温度测量中。

但在这种检测电路中,不平衡电桥中以及铂电阻的阻值和温度之间的非线性特性给最后的温度测量来了一定的误差,不但增加了电路的复杂性, 而且由于包括传感器在内的各种硬件本身的缺陷和弱点, 所以往往难以达到较高的指标要求。

方案二:PN结温度传感器是利用晶体二极管或三极管的P-N结电压随温度的变化而变化。

例如硅管的PN结的电压温度每升高摄氏一度时,电压约下降1mV。

这种传感器有较好的线性度,灵敏度高,热时间常数约0.2s—2s,其测温范围为-50°C—150°C。

可用于一些高要求的温度检测。

又数码管显示电路较为精确,加上选择电路后使用芯片DH7107,然后与数码管相连,组成A/D转换部分和数字显示部分。

电路简单可靠,精确度不高但价格适中,较AD590更为经济适用。

综上所述,采用方案二作为合适的选择。

三、整体设计方案1、基本设计要求基于PN结的温度传感器设计,测量范围0~100°C,数码管显示温度变化,测量误差精确到1~0.5°C,能设置温度上下限和实现报警功能。

温度测量与控制电路

温度测量与控制电路

《电子技术》课程设计报告题目温度测量与控制电路学院(部)信息学院专业班级学生姓名学号12月28日至1月10日共2周指导教师(签字)前言随着社会的发展和科技的进步以及测温仪器在各个领域的应用,温度控制系统已应用到生活的各个方面,但是温度控制一直是一个热门领域,是与人们息息相关的问题。

温度是科学技术中一个基本物理量。

在工业生产等许多领域,温度常常是表征对象和过度状态的重要物理量。

各个工程应用领域对温度的要求越来越高。

在众多的生产过程中,对温度的控制效果直接影响到了产品的质量以及成本等问题。

因此及时、准确的得到温度信息并进行可靠、准确、快速的控制,同时兼顾到系统灵活性、方便性、以及便于数据的读取与安装是一个非常重要的环节。

本次课程设计给我们创造了良好的学习机会:一是查阅资料,培养了自己的自学能力,将自己所学的数字电子技术,模拟电子技术,以及传感器的相关知识综合运用,二是系统了解温度监测特别是工业上的温度控制的详细过程,为日后的学习和工作积累宝贵的经验。

在确定课设题目,经仔细分析问题后,我们发现实现温度的测量与控制方法很多,大致可以分为两大类型,一种是以单片机为主的软硬件结合方式,另一种是用简单芯片构成实现电路。

由于单片机知识的匮乏,我们决定用后者实现。

确定了总的电路结构,我们将设计分为三部分:温度传感器模块、数字显示与温度范围控制模块、声光报警与温度控制执行模块。

在具体分工方面,———负责温度传感模块、数字显示与温度控制模块中的控制温度设定部分;———负责数字显示与温度范围控制模块中的AD 转换与解码、温度设定锁存部分、温度超限判断部分; --- 负责数字显示与温度范围控制模块中的译码显示、声光报警与温度控制执行模块。

温度传感部分我们选用热电偶构成的温度传感器,AD 转换部分使用集成芯片ADC;二进制到 8421BCD码的转换用 74283N 形成六位二进制码转换后再用三个二进制码转换电路级联实现;显示译码部分用4511 和七段数码管实现;温度控制范围设定采用数字设定方式,用十进制加计数器74LS160 和锁存器 74LS175 实现;温度的判断比较通过数值比较器 74LS85 的级联实现;温度执行部分采用555 构成的多谐振荡电路实现。

温度检测与控制电路

温度检测与控制电路

温度检测与控制电路设计报告一. 设计要求运用双臂电桥、差动集成运放、滞回比较器、继电器等设计温度监测与控制电路, 检测电路中用热敏电阻Pt100(或热电偶)作为测温原件,对实时温度进行监控采集,当温度超过设定值(如60±2?C)时,能自动停止加热,否则将继续加热,具有自动指示“加热”与“停止”功能(不设计加热电路),并用单片机控制A/D转换和实时温度显示。

1、根据要求设计温度检测电路和温度控制电路的原理图;2、运用multisim仿真软件对所设计的电路进行仿真,并确定连接实物时所需采用的原件,连接实物图;3、制作PCB电路板图;4、编写用单片机控制A/D转换和温度显示的程序,并在单片机实验箱上进调试;5、分析实验现象,记录实验结果。

二. 设计的作用、目的学习运用双臂电桥、差动集成运放、滞回比较器设计温度监测及控制电路的方法,学会电子电路的组装、调试和测量方法;同时掌握运用单片机试验箱即时显示温度的原理和单片机试验箱的使用。

三. 设计的具体实现1.系统概述运用双臂电桥、差动集成运放搭建温度采集电路,Pt100热电阻作为双臂电桥的一个桥臂,当温度变化时,Pt100对应的阻值也会发生变化,电桥会产生差压,通过差动集成运放对差压信号进行放大,并送给滞回比较器进行电压比较,从而决定滞回比较器的输出电位(“高”或者“低”),控制二极管的亮灭。

同时将放大的信号送入单片机,通过温度与阻值,阻值与电压值的对应关系编写程序来实现温度显示。

系统结构框图:2.单元电路设计、仿真与分析用multisim仿真电路图如下:3. 用Protel绘制电路原理图和PCB电路板图用Protel绘制的电路原理图:电气规则检查:PCB电路板图:4.电路的安装与调试①设计实现根据multisim仿真原理图连接实物图如下:连接时,首先要注意电路设计板的导通方式,窄条的插孔为横向导通、较宽条的插孔则为纵向导通;其次,因为电路元件较多,插线较为复杂,所以要注意设计板上元件的分布,切勿杂乱无章,这样有利于在出现故障时检查电路;再次,由于此实物连接只是插板,并没有制板焊接,因此电路连接可能不稳,导线连接时要注意露出端不要和电路的其他部分接触,防止电路发生短路,损坏元件。

温度测量与控制电路

温度测量与控制电路

中北大学课程设计温度测量与控制电路姓名:学号:专业班级:电子信息科学与技术指导老师:所在学院:2010年1月17日目录摘要关键词1 引言 (4)2 系统总体方案 (4)2.1 对温度进行测量、控制并显示 (4)2.2 恒温控制 (4)2.3 温度显示部分 (4)2.4 温度显示部分 (4)2.5 方框图总体 (5)3 各部分功能模块设计(功能描述) (6)3.1 温度传感器 (6)3.2 A\D转换电路 (7)3.3 报警电路 (8)3.4 调温控制电路 (9)3.5 电压比较器 (9)4课程设计体会 (11)附1:系统原理总图 (12)参考文献 (13)摘要:本设计采用的温度传感器是LM35温度传感器。

测试电路是通过电压比较放大电路来实现温度的检测,控制电路是通过两个电压比较电路来实现对两个继电器的控制。

报警电路有555时基电路和光敏电阻以及扬声器组成。

工作原理主要是利用温度传感器把系统的温度通过A\D转换电路将电信号转换成数字信号,并通过译码电路中显示出来。

报警电路中,当电路出现故障使温度失控时,使被控系统温度达到允许最高温度Vmax,此时发光二极管通电发光照在光敏电阻RL上,RL受光激发,电阻值迅速下降,分压点电位升高,电路立即产生振荡,发出声响报警。

调温控制电路中,通过电压比较器的输入输出关系,决定温度的调节。

当温度低于下限温度时,电路经过一系列变化接通加热器电源对其进行加热。

当温度升到上限温度时,加热器电源,停止加热。

关键词:温度传感器Temperature Sensor调温控制Thermostat Control电压比较器Voltage Comparator报警电路Alarm Circuit1 引言温度是一个基本物理量,也是一个与人们的生活环境、生产活动密切相关的重要物理量。

温度的测量和控制技术应用十分广泛。

在工农业生产和科学研究中,经常需要对某一系统的温度进行测量,并能自动地控制、调节该系统的温度。

测温控温电路

测温控温电路

测温控温电路温度测量, 温度采集, 温控电路, 温度检测, 温控本测温控温电路适用于家用空调、电热取暖器、恒温箱、温床育苗、人工孵化、农牧科研等电热设备。

其使用温度范围是0~50℃,测控温精度为±(0.2~0.5)℃. 2.2.1 原理电路本测温控温电路由温度检测、显示、设定及控制等部分组成,见图2.2.1。

图中D1~D4为单电源四运放器LM324的四个单独的运算放大器。

RT1~RTn为PTC感温探头,其用量取决于被测对象的容积。

RP1用于对微安表调零,RP2用于调节D2的输出使微安表指满度。

S为转换开关。

图2.2.1 测温控温电路由RT检测到的温度信息,输入D1的反馈回路。

该信息既作为D2的输入信号,经D2放大后通过微安表显示被测温度;又作为比较器D4的同相输入信号,与D3输出的设定基准信号,构成D4的差模输入电压。

当被控对象的实际温度低于由RP3预设的温度时,RT的阻值较小,此时D4同相输入电压的绝对值小于反相输入电压的绝对值,于是D4输出为高电位,从而使晶体管V饱和导通,继电器K得电吸合常开触点JK,负载RL由市电供电,对被控物进行加热。

当被控对象的实际温度升到预设值时,D4同相输入电压的绝对值大于反相输入电压的绝对值,D4的输出为低电位,从而导致V截止,K失电释放触点JK至常开,市电停止向RL供电,被控物进入恒温阶段。

如此反复运行,达到预设的控温目的。

2.2.2 主要元器件选择本测温控温电路选用PTC热敏电阻为感温元件,该元件在0℃时的电阻值为264Ω,制作成温度传感器探测头,按图2.2.2线化处理后封装于护套内,其电阻-温度特性见图2.2.3.图2.2.2 线化电路线化后的PTC热敏电阻感温探头具有良好的线性,其平均灵敏度达16Ω/℃左右。

如果采用数模转换网络、与非门电路及数码显示器,替代本电路的微安表显示器,很容易实现远距离多点集中的遥测。

继电器的选型取决于负载功率。

为便于调节,RP1~RP4选用线性带锁紧机构的微调电位器。

温度检测控制与报警电路原理

温度检测控制与报警电路原理

温度检测控制与报警电路原理
温度检测控制与报警电路是一种电子设计方案,用于检测和控制温度,并在达到预设阈值时触发报警信号。

其基本原理如下:
1. 温度传感器:温度传感器是温度检测控制与报警电路的核心部件,用于检测环境温度。

常用的温度传感器有热敏电阻、热电偶、半导体温度传感器等。

2. 示波器:示波器用于观察温度信号的波形,以判断传感器测量是否准确。

示波器通常连接在温度传感器输出信号的前端。

3. 放大器:放大器用于放大传感器输出的微弱信号,增强信号的幅度,以便后续的信号处理和控制。

4. 控制开关:控制开关用于根据温度值控制电路,如触发报警器、控制加热器或制冷器等。

5. 报警器:报警器用于在温度超过预设阈值时发出报警信号,以便及时采取措施。

报警器通常采用声光结合的方式,如蜂鸣器和LED灯等。

温度检测控制与报警电路可应用于许多领域,如智能家居、医疗设备、汽车电子等,为人们提供更加便捷和安全的生活和工作环境。

模电课程设计水温测量与控制电路设计

模电课程设计水温测量与控制电路设计

2013 ~ 2014 学年第2 学期《模拟电子技术》课程设计报告题目:温度监测及控制电路设计专业:电气工程及其自动化班级:电气工程及其自动化2班姓名:指导教师:电气工程学院2014年5月16日任务书目录摘要 (4)第一章温度监测及控制电路的设计 (5)1.1、设计方案 (5)1.1.1、温度监测及控制电路设计思路 (5)1.2 温度监测及控制电路流程框图 (5)第二章电路设计 (6)2.1 温度——电压变换 (6)2.2 2.732V电压产生电路 (7)2.3 稳压调整 (7)2.4 差分放大电路 (8)2.5比较电路—滞回比较器 (9)2.5控制报警系统电路 (10)2.6报警原理图 (10)第三章全图设计与仿真测试 (11)3.1 总电路图 (11)3.2加减运算电路正常工作时仿真结果 (12)3.3电平变换仿真结果 (12)结论 (14)参考文献: (15)温度监测与控制电路设计摘要随着数字化时代的到来,用传统的水银或酒精温度计来测量温度,不仅测量时间长、读数不方便、而且功能单一,已经不能满足人们的要求,于是使用温度传感器监测外界温度变化,通过差分放大电路将温度传感器的阻值变化转换的电压信号变化放大,然后利用A/D转换实现模拟信号到数字信号的转换,根据模拟电路部分电路原理计算得出最后输出电压与温度值的关系,并通过数码管显示当前值,使其与温度数值上相等,从而实现温度的测量再者还加载了报警装置,当被测温度超出设定温度范围时,LED报警装置工作。

本设计是采用了温度的测量、温度的显示和报警装置三部分实现上述目的的。

关键字:温度传感器;桥式放大电路;滞回比较器; A/D转换器第一章温度监测及控制电路的设计1.1、设计方案1.1.1、温度监测及控制电路设计思路(1)择被测对象,经过温度传感器AD590将温度转换为电流,然后经过温度-电压变化,转化为电压;(2)转化的电压再经过K—℃变换电路转化为绝对℃;(3)再经过反相比例放大电路,将电压值放大;(4)利用差分放大电路,将电压进行比较,通过驱动电路进行报警,超过设定温度,发光二极管将会变亮。

温度测量与控制电路设计

温度测量与控制电路设计
完成期限:自2012年6月18日至2012年_月29日共2周设计依据、要求及主要内容(可另加附页):
1.设计一电路可以对某一系统的温度进行测量,并能自动地控制、调节该系统的温度。
2.技术指标:
(1)被测温度和控制温度均可数字显示;
⑵ 测量温度为0~120°C,精度为±0. 5°C;
(3)控制温度连续可调,精®±1°C;
三、具体实现和各部分定性说明及定量计算
下面就整体构思中提及的八人模块,依次进行详细的说明。包括:工作原理、原理图、元器件的选择、参 数计算。最后附上元件的清单表。
利用集成芯片AD574,再结合两片74LS283(4位二进制超前进位全加器)构成。该方案工作原理是先将 模拟量转换成9位二进制数,再将最低一位和前八位相加这样就可以将测量精度提高到±0.5°C.即AD574来实现AQ转换。设计原理图如下图4所示:滤波放大信号的输出作为A/D转换的模拟量输入,进入引 脚20VIN,引脚DO〜D9作为数字信号输出,当电路图如此连接后就可以实现模数转换功能,当经过滤波 放大的电压信号输入时,经过转换就可以输出9位二进制的数字信号。将这9位数字信号的高8位与最低 一位相加,从而将转换精度提高。
2.1方案3
2.2整体构思4
三、 具体实现和各部分定性说明及定量计算4
3.2、A/D转换器5
3.3、 电压比较器6
3.4、 控制电路(温控电路)7
3.5、 声光报警器8
3.6、 转码电路9
3.7、 显示电路9
3.8、 加热电路10
3.9总电路原理图11
四、 元件清单表12
五、 收获体会14
六、 參考文献14
、温度测量与控制电路分析
1.1
温度测量与控制电路是在实际应用中相当广泛的测量电路。本次设计主要运用基本的模拟电子技术和数字 电子技术的知识,从基本的单元电路出发,实现了温度测量与控制电路的设计。总体设计中的主要思想: 一、达到设计要求;二、尽量应用所学知识;三、设计力求系统简单可靠,有实际价值。温度传感采用热 电偶和温度补偿原理。人家共同商议共同确定了总的电路结构,将设计分为2部分,汪鹏负责温度传感部 分,李小龙负贵温度控制部分:二进制到8421BCD码的转换用EEPROM281024实现:显示译码部分用74LS48和数码管实现;温度控制范围设定采用数字设定方式,用74LS160十进制加计数器和锁存器74LS175实现:温度的判断比较数值比较器74LS85的级联实现:通过使用74LS160和ADG508F实现了 多路温度循环监测功能。声光报警加入了单稳态。温度控制执行部分采用555构成的单稳态电路,提高了 加热系统与降温系统的稳定性和实用性。

温度监测及控制电路

温度监测及控制电路

温度监测及控制电路一、实验目的1、学习由双臂电桥和差动输入集成运放组成的桥式放大电路。

2、掌握滞回比较器的性能和调试方法。

3、学会系统测量和调试。

二、实验原理1、实验电路如图21-1所示,它是由负温度系数电阻特性的热敏电阻(NTC元件)Rt为一臂组成测温电桥,其输出经测量放大器放大后由滞回比较器输出“加热”与“停止”信号,经三极管放大后控制加热器“加热”与“停止”。

改变滞回比较器的比较电压UR即改变控温的范围,而控温的精度则由滞回比较器的滞回宽度确定。

图21-1 温度监测及控制实验电路(1)、测温电桥由R1、R2、R3、RW1及Rt组成测温电桥,其中Rt是温度传感器。

其呈现出的阻值与温度成线性变化关系且具有负温度系数,而温度系数又与流过它的工作电流有关。

为了稳定Rt 的工作电流,达到稳定其温度系数的目的,设置了稳压管D2。

RW1可决定测温电桥的平衡。

(2)、差动放大电路由A1及外围电路组成的差动放大电路,将测温电桥输出电压△U按比例放大。

其输出电压B 6564W274A 4W2701)U R R R )(R R R R ()U R R R (U +++++-= 当R 4=R 5,(R 7+R W2)=R 6时)U (U R R R U A B 4W2701-+=R W3用于差动放大器调零。

可见差动放大电路的输出电压U 01仅取决于二个输入电压之差和外部电阻的比值。

(3)、滞回比较器差动放大器的输出电压U 01输入由A 2组成的滞回比较器。

滞回比较器的单元电路如图21-2所示,设比较器输出高电平为U 0H ,输出低电平为U OL ,参考电压U R 加在反相输入端。

当输出为高电平U 0H 时,运放同相输入端电位 0H F22i F 2F H U R R R u R R R u +++=+当u i 减小到使u +H =U R ,即 OH F2R F F 2TL i U R RU R R R u u -+== 此后,u i 稍有减小,输出就从高电平跳变为低电平。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《电子技术》课程设计报告题目温度测量与控制电路学院(部)电子与控制工程学院专业电子科学与技术班级32050701学生姓名郭鹏学号3205070113指导教师(签字)前言随着数字时代的到来,人们对于温度的测量与控制的要求越来越高,用传统的水银或酒精温度计来测量温度,不仅测量时间长、读数不方便、精度不够高而且功能单一,已经不能满足人们在数字化时代的要求。

于是我们提出,测温电路利用温度传感器监测外界温度的变化,通过放大器将温度传感器接收到的信号进行放大,放大到比较有利于我们测量的温度范围,然后利用A/D转换器实现模拟信号到数字信号的转换,最后通过编程让FPGA实现8位二进制数与BCD码之间的转化,实现温度的显示;并利用比较器来实现对放大电压信号的控制,从而实现对温度的控制;再者还加载了报警装置,使它的功能更加完善,使用更加方便。

本设计是采用了温度的测量、信号放大、A/D转换、温度的显示、温度的控制、报警装置六部分来具体实现上述目的。

目录摘要与设计要求 (4)第一章:系统概述 (5)第二章:单元电路设计与分析 (5)1) 方案选择 (5)2)设计原理与参考电路 (6)1 放大电路 (6)2 低通滤波电路 (7)3 温度控制电路 (8)4 报警电路 (9)5 A/D转换器 (10)6 译码电路 (11)第三章:系统综述、总体电路图 (14)第四章:结束语 (15)参考文献 (15)元器件明细表 (15)收获与体会,存在的问题等 (16)温度测量与控制电路摘要:利用传感器对于外界的温度信号进行收集,收集到的信号通过集成运算放大器进行信号放大,放大后的信号经过A/D转换器实现模拟信号与数字信号间的转换,再通过FPGA编程所实现的功能将转换后的数字信号在数码管上显示出来,实现温度测量过程。

放大的信号可以与所预定的温度范围进行比较,如果超出预定范围,则自动实现声光报警功能,实现温度控制过程。

关键字:温度测量温度控制信号放大A/D转换声光报警设计要求:1. 测量温度范围为200C~1650C,精度 0.50C;2. 被测量温度与控制温度均可数字显示;3. 控制温度连续可调;4. 温度超过设定值时,产生声光报警。

第一章系统概述传感器两端的电压信号变化不大,经过放大电路和滤波电路之后就会形成一个比较大的模拟量。

这个模拟量有两个电路使用,一个是AD转换器,另一个就是控制温度电路。

当被测温度超过控制温度时控制温度电路就会产生报警信号,驱动报警电路达到报警要求。

当然,控制电压分别要接另外两个AD转换器和译码显示电路,达到数码显示的要求。

整个系统的设计思路是从A/D转换器出发的,由于A/D转换器的模拟电压输入量需要一个比较大的值,所以传感器的信号要经过放大电路,由于放大电路本身以及传感器外界的干扰因素,在放大电路之后要加一级低通滤波器。

经过滤波之后的信号就是我们需要的模拟信号。

这个模拟信号分别接两个输入端,一个是A/D转换器的输入端,另一个是控制温度输入端。

A/D转换器的数字输出接译码显示电路。

系统的硬件流程图如下图所示:第二章单元电路设计与分析1)、方案选择放大电路,低通滤波电路,报警电路以及控制电路相对比较容易实现,在数据采集的过程中,采集到得八位二进制数如何转换成十二位的BCD码,实现起来有些问题,有两种方案可供选择:1采用组合逻辑电路,用74系列的加法器283先形成BCD的一位加法器,再通过级联的方式译码。

2用FPGA芯片进行编程,输入为二进制数(并不一定是二进制自然码),输出为12位BCD码。

经过比较,第二种方案可行,并且简单,方便易懂。

第一种方案很难实现,并且某一个温度所对应的AD转换器的输出量,并不一定是这个温度对应的二进制数自然码。

所以想要实现第一种方案,还要另外在AD转换器的输出端加一个八位的加法器,这样会使电路更加复杂。

而第二种方案用VHDL编写程序,简单易懂,并且器件的执行速度快,还略去了组合逻辑电路的复杂性。

数据转换器的位数也有两种方案可供选择:1采用八位数据转换器。

因为测量电路的要求是:量程为20到165;精确到0.5,这样算下来需要至少产生290个二进制数来表示温度,也就是说AD转换器至少要9位才可以。

持这种意见的同学认为,八位AD转换器虽然少了一位,但是可以用比较器额外增加一位。

2采用16位的AD转换器。

采用16位AD转换器,可以省去数模混合电路的设计,这样电路就会更加简单。

所以决定用16位AD转换器,但是只取9位。

并且只有八位译码,另外一位控制小数点后面的0.5.这样即达到了设计要求,而且还简化了译码的程序。

2):设计原理与参考电路1、放大电路:采用三级集成运算放大电路,集成运算放大器型号为LM324,LM324系列器件为差动输入的四运算放大器。

与单电源应用场合的标准运算放大器相比,它们有一些显著优点。

该四放大器可以工作在低到3.0伏或者高到32伏的电源下,静态电流为MC1741的静态电流的五分之一。

共模输入范围包括负电源,因而消除了在许多应用场合中采用外部偏置元件的必要性。

在该放大电路中,我们将传感器收集到的信号定义为VEE,因为该信号过于微弱,所以需要经过集成运算放大器进行信号放大,放大到便于我们测量的电压值,该电路采用三级集成运算放大器,放大倍数约为1248倍,经过实际测量得到了放大后的电压值如下图所示:2 5.2482.5 5.8723 6.3953.4 6.995放大电路图如上图所示:在该电路图中,放大电路的2号引线为接收传感器信号的输入端(我们将VEE定义为传感器的输入信号),第三级放大器的9号引线为放大信号的输出端,它接的是滤波电路。

2、低通滤波器如图所示:因为传感器的输入和放大器本身不可避免的会带有一些高次谐波或高频干扰信号,这会对A/D转换器的数据采集造成干扰,为了削弱高次谐波或高频干扰的场合,提高测量的精度,所以要加一级或者多级低通滤波器(仿真中为一级)。

具体电路如上图所示。

由于同向比例放大电路的电压增益为Auf=A0+R2/R1,只要将R1的电阻值设置为远大于R2的电阻值,则电压增益可忽略不计,例如:当VCC=10V时,通过放大器后的输出电压为10.002,电压增益对于整体电路的电压输出并无决定性影响,在该电路中,电源VCC实际上是从上一级放大器接收到得放大信号,而放大器接电阻R3另一端接的是译码电路。

3、温度控制电路:控制温度电路主要由两个电压比较器组成,第一个电压比较器(上方)控制的是温度下限,第二个控制的是温度上限,当温度所对应的电压超过量程时,两个电压比较器会有一个输出高电平,比较器的电源电压控制的适当,这个高电平就可以和门电路(或门)连接。

仿真时,电源电压为5V,比较器输出电压为4V。

控制温度电路的输入端接电压跟随器,这个电压跟随器再和滤波电路的输出端连接。

控制温度电路的输出端(或门输出端)直接连接报警电路。

温度控制电路图如下图所示:4、控制报警电路:在设计报警电路时,我们采用了555多谐振荡器来实现报警功能,利用一个发光二极管来实现光报警,蜂鸣器来实现声音报警,具体电路图如下图所示:在该电路图中18接的是控制电路两个比较器出来的信号,当信号大于VDD2的电压预定值,或者小于VDD1的电压预定值时,该555报警电路会自动报警,发光二极管产生光报警信号,蜂鸣器产生声音报警信号。

5、A/D转换器:采用十六位的A/D转换器,但是由于课程设计中要求的精度为0.5V,量程为20到165,也就是说小数点后面的数字不是0就是5,所以在译码时只需要将高8位译成BCD码,用第7位来控制小数0.5,当第7位为高电平时,小数部分为0.5,当第7位为低电平时,小数部分为0.而高位的8位二进制数并不一定是二进制自然码,这个问题会在译码电路中得到解决。

AD转换器的其他管脚悬空即可。

AD转换器的模拟量输入端在与放大电路连接之前要加电压跟随器,这样可以防止压降的变化,减小误差。

假设当温度为20摄氏度时,传感器的电压为0.5mV,当温度为165摄氏度时,假设温度为3.4mV(如果温度和电压值不能对应,可以改进桥式电路,或者加一个上拉电阻,使传感器的电压值增大)AD转换器如图所示:在该电路中,Vin接的是低通滤波器传过来的放大信号,D7到D15接的是译码电路,即PLD 芯片,Vref+和Vref-接的是一个电压范围,即在该范围内工作,SOC为输入的脉冲信号。

6、译码电路:由于经过A/D转换器输出的为二进制数,这些二进制数在数码管上并不能完全显示,为了能很好的再数码管上显示温度,本次的课程设计采用的译码电路为FPGA芯片,利用VHDL 编程来实现译码功能。

VHDL源程序如下:ibrary ieee;use ieee.std_logic_1164.all;entity BCD isport(reset: in std_logic;OE: in std_logic;Vin:in std_logic_vector(7 downto 0);Vout1: out std_logic_vector(3 downto 0);Vout2: out std_logic_vector(3 downto 0);Vout3: out std_logic_vector(3 downto 0));end entity;architecture behave of BCD issignal temp_q: integer range 0 to 199;signal temp_q0,temp_q1,temp_q2,temp_q3:integer range 0 to 99; FUNCTION vector_to_int(input :in std_logic_vector(7 downto 0))return integer isVARIABLE q,p:integer :=0;beginfor i in 7 downto 0 loopp:=0;if(input(i)='1') thenp:=2**(i-0);elsenull;end if;q:=q+p;end loop;return (q);end vector_to_int;beginprocess(reset,OE)beginif(OE='0')thenVout1<="0000";Vout2<="0000";Vout3<="0000";elsif(OE='1' and reset='1')thenVout1<="0000";Vout2<="0000";Vout3<="0000";elsetemp_q<=vector_to_int(Vin);if(temp_q>=100 )thentemp_q3<=1;temp_q0<=temp_q-100;temp_q1<=temp_q0 REM 10;temp_q2<=temp_q0-temp_q1;else if(temp_q<100 and temp_q>0)thentemp_q3<=0;temp_q0<=temp_q;temp_q1<=temp_q0 REM 10;temp_q2<=temp_q0-temp_q1;end if;end if;end if;case temp_q1 iswhen 0=>Vout1<="0000";when 1=>Vout1<="0001";when 2=>Vout1<="0010";when 3=>Vout1<="0011";when 4=>Vout1<="0100";when 5=>Vout1<="0101";when 6=>Vout1<="0110";when 7=>Vout1<="0111";when 8=>Vout1<="1000";when 9=>Vout1<="1001";when others =>Vout1<="0000";end case;case temp_q2 iswhen 0=>Vout2<="0000";when 10=>Vout2<="0001";when 20=>Vout2<="0010";when 30=>Vout2<="0011";when 40=>Vout2<="0100";when 50=>Vout2<="0101";when 60=>Vout2<="0110";when 70=>Vout2<="0111";when 80=>Vout2<="1000";when 90=>Vout2<="1001";when others =>Vout2<="0000";end case;case temp_q3 iswhen 0=>Vout3<="0000";when 1=>Vout3<="0001";when others =>Vout3<="0000";end case;end process;end behave;分别定义FPGA的清零端reset,使能端OE,八个输入Vin0到Vin7和三个输出Vout3(3 downto 0)Vout2(3 downto 0)Vout1(3 downto 0)。

相关文档
最新文档