基于EDA的交通灯控制系统设计
EDA课程设计报告交通灯控制系统
一、课程设计目的和要求目的:掌握基于FPGA 的复杂数字系统的设计和验证方法。
提高学生复杂数字系统的设计能力。
要求:使用实验箱为W48-PK2SOPC 试验开发系统,核心器件为Alatera 公司的EP1C6Q240C8芯片,开发软件为Quartus4.0.本实验环节要求学生以FPGA 器件为目标器件,设计典型的数字系统,如:A/D 、D/A 接口;电子密码锁,交通灯控制系统,数字表等复杂硬件电路,完成设计综合、仿真和硬件测试,并写实验报告。
二、设计方案工作原理:本次设计是针对十字路口,进行南北和东西直行情况下交通灯控制。
设定东西方向为主干道方向,根据交通灯的亮的规则,在初始状态下四个方向的都为红灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续40S 后,主干道和支干道上的黄灯都亮启,持续5S 后,主干道上红灯亮启,支干道上绿灯亮启持续40S ,之后主干道和支干道上的黄灯都亮启5s ,一个循环完成。
用LED 灯显示倒计时,并且能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯亮。
实现方法:本次采用文本编辑法,即利用Verilog HDL 语言描述交通控制器,通过状态机计数法,实现设计所要求的交通灯控制及时间显示。
设计中用两组红黄绿LED 模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号提供。
状状状状状状状状状状状状00状状10状状11状状01状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状状001100010010001010100010图2.交通灯控制状态转化说明:该状态图为交通灯在正常情况下的状态转化图,进入控制后,状态00时主干道绿灯及支干道红灯亮起,进入状态01后两路黄灯亮起,状态11时主干道红灯及支干道绿灯亮起。
进入10状态两路黄灯亮起。
结束一个循环,从00状态重新开始循环。
基于EDA的交通灯控制系统设计
EDA 课程设计报告书课题名称 基于EDA 的交通灯控制系统设计 姓 名陈 勇学 号 0812201-08 院 系 物理与电信工程系 专 业 电子信息工程 指导教师田旺兰 讲师2011年 6月10日※※※※※※※※※ ※※ ※※ ※※2008级学生EDA 课程设计基于EDA的交通灯控制系统设计1 设计目的(1)掌握十字路口交通灯控制的设计原理,并能够运用VHDL编程语言编写出实验程序,进一步对所学的EDA知识进行掌握与实际应用。
(2)学会在quartusII软件环境中仿真,熟悉软件的基本操作和运行环境。
(3)锻炼自己获取信息的能力,以及能够独立自主的思考和解决问题的能力。
2设计的主要内容和要求设计一个基于EDA的交通灯控制系统,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。
能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。
还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。
可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。
3 整体设计方案根据设计要求和系统所具有功能,并参考相关的文献资料,经行方案设计,画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如图3.1所示。
并且可以得出系统的状态图如图3.2所示,其中:S0:支干道没有车辆行驶,支干道绿灯,支干道红灯S1:支干道有车辆行驶,支干道绿灯,支干道红灯S2:主干道黄灯,支干道绿灯S3:主干道红灯,支干道绿灯S4:主干道红灯,支干道黄灯图3.1 整体设计方框图图3.2 系统状态图CLK时钟分频模块交通灯控制及计时模块扫描显示模块LED 显示数码管位码 数码管段码4 硬件电路的设计4.1 顶层文件原理图根据以上设计思路,可以得到如下的顶层文件原理图如4.1所示,具体实物模块如图4.2所示。
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
eda交通灯控制器课程设计
eda交通灯控制器课程设计课程设计:EDA交通灯控制器1. 课程背景和目标:EDA交通灯控制器是使用EDA(电子设计自动化)工具进行交通灯控制系统设计的课程。
学习该课程的目标是使学生能够熟练运用EDA工具进行交通灯控制系统设计,并能够理解交通灯控制系统的原理和设计方法。
2. 课程内容和安排:(1) 交通灯控制系统原理介绍:介绍交通灯控制系统的基本原理,包括信号机、信号控制方法和交通流量检测等。
(2) EDA工具介绍:介绍常用的EDA工具,如Verilog、VHDL等,并讲解其基本使用方法。
(3) 交通灯控制器设计流程:介绍交通灯控制器的设计流程,包括需求分析、功能设计、模块设计和系统集成等。
(4) 交通灯控制器设计实践:学生通过实践项目,使用EDA工具设计交通灯控制器。
项目涵盖设计、仿真、验证和生成等环节,学生需要独立完成设计并提交设计报告。
3. 课程教学方法:(1) 理论讲解:通过课堂讲解,介绍交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。
(2) 实践项目:学生通过实践项目,运用所学知识设计交通灯控制器,并进行仿真、验证和生成等环节。
(3) 讨论和案例分析:通过课堂讨论和案例分析,加深学生对交通灯控制系统的理解和应用能力。
(4) 指导和批评:教师对学生的设计进行指导和批评,帮助学生不断提高设计能力。
4. 评估方式:(1) 实践项目报告:学生独立完成实践项目,并提交设计报告,包括设计过程、仿真结果和验证结果等。
(2) 课堂测试:通过课堂测试检验学生对交通灯控制系统原理和EDA工具的理解程度。
(3) 课堂表现:评估学生的课堂出勤情况、学习态度和参与度等。
5. 参考教材:(1) 《交通信号控制原理与技术》高新泽(2) 《EDA与数字电路设计》陈骏等(3) 《数字电路与系统设计》刘敏衡等(4) 《系统设计自动化技术与EDA工具应用》杨学庆等以上是对EDA交通灯控制器课程设计的简要介绍。
课程内容涵盖了交通灯控制系统的原理和设计方法,以及EDA工具的使用方法。
基于EDA的VHDL语言设计的交通灯
师学院本科生课程设计论文基于Verilog HDL的RS编码器设计院系电子与通信工程学院专业通信工程学生班级通信111班姓名韦仁良学号 3指导教师单位电子与通信工程学院指导教师宇宁【摘要】随着社会上特别是城市中机动车辆保有量的不断增加,在现代城市的日常运行控制中,车辆的交通控制越来越重要,在十字交叉路口,越来越多的使用红绿灯进行交通指挥和管理。
本文以VHDL硬件描述语言为设计手段,完成了交通信号灯控制电路的设计,其通信号灯控制电路的开发目的是设计一个适用于主、支干道十字交叉路口的红黄绿交通灯的控制系统,通过合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。
所设计的交通信号灯控制电路经过在QuartusⅡ软件下进行模拟仿真,观察其波形,证明所设计的交通信号灯控制电路完全可以实现预定的功能,并有一定的实用性。
【关键词】VHDL; QuartusⅡ; 交通灯【题目要求】用有限状态机设计一个交通灯控制器,设计要求:A路和B路,每路都有红、黄、绿三种灯,持续时间为:红灯45s、黄灯5s、绿灯40s。
A、B路交通灯的转换状态是:(1)A红、B绿(持续时间40s);(2)A红、B黄(持续时间5);(3)A绿、B红(持续时间40s);(4)A黄、B红(持续时间5s);【系统总体设计原理】bin2bcd以及显示模块display电路构成。
其中分频模块fen50m_1s主要将系统输入的基准时钟信号转换为1Hz的激励信号,驱动控制模块工作。
控制模块traffic_control根据计数情况对交通灯的亮灭及持续时间进行控制。
转换模块bin2bcd将控制模块设计的亮灯时间的二进制转换为bcd码。
显示模块display主要将亮灯时间以倒计时的形式通过数码显示出来【时钟分频模块】系统时钟脉冲为50MHz,为满足各个模块脉冲需求,需要分频成2Hz和4000Hz的脉冲。
fen50m_1s模块设计,实现频率由50MHz到2Hz的转变,达到我们需要的1s的要求,模块如下图:分频器一端口说明:clkin:输入50MHz时钟脉冲clkout:输出2Hz脉冲fen50m_1s实现Verilog语言描述如下:module fen50m_1s(clkin,clkout);input clkin;output clkout;reg clkout;reg [24:0] q;always (posedge clkin)beginif (q==24999999)beginq<=0;clkout<=~clkout;endelse q<=q+1;endendmodule编译结果:分频器二fen50m_4000模块设计,实现频率由50MHz到4000Hz的转变分频器二端口说明:clkin:输入50MHz时钟脉冲clkout:输出4000Hz脉冲分频器fen50m_4000模块实现程序:module fen50m_4000(clkin,clkout);input clkin;output clkout;reg clkout;reg [24:0] q;always (posedge clkin)beginif (q==12499)beginq<=0;clkout<=~clkout;endelse q<=q+1;endendmodule编译结果:【交通灯控制及计时模块】端口说明:Clock:输入时钟信号,上升沿有效。
《EDA技术及应用》交通灯控制电路的设计
《EDA技术及应用》交通灯控制电路的设计1 系统设计1.1 设计要求1.1.1 设计任务1、用4个八段数码管分别显示道路东西和南北通行和禁止的倒计时时间。
2、能设置道路东西和南北两侧通行和禁止的倒计时时间,最大设置时间为99秒,最小设置时间为1秒。
3、交通灯用红、绿、黄三种发光二极管(LED)显示控制的结果。
4、红、绿、黄灯显示的次序应符合实际交通道路控制的要求。
5、其它功能。
1.1.2性能指标要求设计一个交通控制器,用LED 显示灯表示交通状态,并以8 段数码显示器显示当前状态剩余秒数南北方向绿灯亮时,东西方向红灯亮;反之亦然,二者交替允许通行,南北方向每次放行99s,东西方向每次放行99s,南北红绿灯始终比东西红绿灯快3s。
每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,黄灯的时间为3s。
因为开发板没有绿黄灯,所以用两组三个led灯替代显示红黄绿灯。
南北方向与东西方向各用两个8位数码管显示倒计时,并且能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯亮。
1.2 设计思路及设计框图1.2.1设计思路本次设计是针对十字路口,进行南北和东西直行情况下交通灯控制。
设定东西方向为主干道方向,根据交通灯的亮的规则,在初始状态下两个方向的都为红灯亮启,进入正常工作状态后,当南北方向红绿灯上绿灯亮时,东西方向红绿灯上红灯亮,各方向最后倒计时3s时,南北方向红绿灯和东西方向红绿灯上的代表黄灯的led灯亮启,持续3S后,南北方向红绿灯上红灯亮启,东西方向红绿灯上绿灯亮启持续99s,之后南北方向和东西方向上的黄灯都亮启3s,一个循环完成,循环往复的直行这个过程。
1.2.2总体设计框图根据任务需求,总体设计有:分频器模块、控制器模块、倒计时模块、红绿灯显示模块、码模块和译码显示模块如下图所示:2 各个模块程序的设计led红绿灯显示:module led(clk,led,N,D,cout,zt);input clk;input [6:0] N;input [6:0] D;output reg [5:0] led;output reg [1:0] zt;output reg [6:0] cout;always@(posedge clk)begincout=cout+1;if(cout<N-3)led=6'b100001;//南北绿灯,东西红灯else if(cout>N-3&&cout<N)led=6'b100010;//南北黄灯,东西红灯else if(cout>N&&cout<D+N-3)led=6'b001100;//南北红灯,东西绿灯else if(cout>N+D-3&&cout<N+D)led=6'b010100;//南北红灯,东西黄灯else if(cout==N+D)cout=0;if(cout<N-4)zt=0;else if(cout>N-4&&cout<N-1)zt=1;else if(cout>N-1&&cout<D+N-4)zt=2;else if(cout>D+N-4&&cout<N+D-1)zt=3;endEndmodule分频器:module div(clk,clkout);input clk;output reg clkout;Parameter CNT_MAX =50_000_000;//1s->1hz(50_000_000/1),0.5s->2hz(50_000_000/2=25_000_000) //parameter CNT_MAX = 1; //for simulationreg [25:0] cnt;always @ (posedge clk)if (cnt < CNT_MAX - 1'b1)cnt <= cnt + 1'b1;elsecnt <= 26'd0;always @(posedge clk)if(cnt == CNT_MAX - 1'b1)clkout=1'b1;elseclkout=1'b0; Endmodule调时控制:module ts(s,N,D,mode);input [1:0] s;input mode;output [6:0] N;output [6:0] D;j u1(.s(s[0]),.q(N),.mode(mode));j u2(.s(s[1]),.q(D),.mode(mode));Endmodule倒计时计数:module seg(clk,N,D,cout,zt,fs1,fs2); input clk;input [6:0] N;input [6:0] D;input [6:0] cout;input [1:0] zt;output reg [6:0] fs1;output reg [6:0] fs2;always@(posedge clk)case(zt)2'b00:begin fs1=N-cout-4; fs2=N-cout-1;end2'b01:begin fs1=N+D-cout-1; fs2=N-cout-1;end2'b10:begin fs1=N+D-cout-1; fs2=N+D-4-cout;end2'b11:begin fs1=N+D-cout-1; fs2=2*N+D-cout-1;endendcaseEndmodule计时输出:module j(s,q,mode);input s,mode;output reg [6:0] q;initialq=15;//初始从15开始启动always@(posedge s)if(mode)q=q+1;elseq=q-1;Endmodule数码管调用:// Module Function:数码管的译码模块初始化module segment7 (seg_datin,seg_led,en);input [3:0] seg_datin; //数码管需要显示0~f共16个数字,所以需要4位数据输入端 input en; //数码管使能端output [7:0] seg_led; //在DE10-Standard上控制一个数码管需要7个信号MSB~LSB=DP、G、F、E、D、C、B、Areg [7:0] seg [15:0]; //定义了一个reg型的数组变量,相当于一个16*8的存储器,存储器一共有16个数,每个数有8位宽initial //在过程块中只能给reg型变量赋值,Verilog中有两种过程块always和initial//initial和always不同,其中语句只执行一次beginseg[0] = ~(8'h3f) ; //对存储器中第一个数赋值8'b0011_1111,7段显示数字 0 seg[1] = ~(8'h06); //7段显示数字 1seg[2] = ~(8'h5b); //7段显示数字 2seg[3] = ~(8'h4f); //7段显示数字 3seg[4] = ~(8'h66); //7段显示数字 4seg[5] = ~(8'h6d); //7段显示数字 5seg[6] = ~(8'h7d); //7段显示数字 6seg[7] = ~(8'h07); //7段显示数字 7seg[8] = ~(8'h7f); //7段显示数字 8seg[9] = ~(8'h6f); //7段显示数字 9seg[10] = ~(8'h77); //7段显示数字 aseg[11] = ~(8'h7c); //7段显示数字 bseg[12] = ~(8'h39); //7段显示数字 cseg[13] = ~(8'h5e); //7段显示数字 dseg[14] = ~(8'h79); //7段显示数字 eseg[15] = ~(8'h71); //7段显示数字 fendassign seg_led = en?seg[seg_datin]:8'hff; //连续赋值,输入不同四位数,输出对于译码的8位输出,共阴数码管取反。
EDA实验报告:基于VHDL语言的交通灯控制系统设计与实现
图为k1=0时的输出状态s1,输出恒为011110。即亮灯为R1,y2。保持时间1S。
(k2=0)
上图为k2=0时的输出状态s2,输出恒为101011。即亮灯为G1,R2。保持时间2S。
(k3=0)
上图为k3=0时的输出状态s3,输出恒为110011。即亮灯为R1,G2。保持时间1S。
四、小结及心得体会
else
if counter<5 then
next_state<=s3;
else
next_state<=s0;
end if;
end if;
end if;
end if;
end if;
end case;
end process;
ouput:process(current_state)
begin ——显示程序
begin
u1: jiaotongdeng port map(
clk=>clki,
k0=>k0,
k1=>k1,
k2=>k2,
k3=>k3,
r1=>r1,r2=>r2,g1=>g1,g2=>g2,y1=>y1,y2=>y2
);
u2: div port map(clk=>clk1,clk_out=>clki);
end if;
end if;
end if;
when s3=>
if k0='0' then
next_state<=s0;
else
if k1='0' then
next_state<=s1;
eda交通控制灯课程设计
eda 交通控制灯课程设计一、课程目标知识目标:1. 学生能够理解并掌握交通控制灯(红绿灯)的基本原理和工作机制。
2. 学生能够描述EDA(电子设计自动化)工具在交通控制灯设计中的应用。
3. 学生能够解释交通控制灯系统中涉及的基础电子元件及其功能。
技能目标:1. 学生能够运用EDA软件完成交通控制灯电路图的绘制。
2. 学生能够通过编程实现对交通控制灯系统的简单控制逻辑。
3. 学生能够运用团队协作和问题解决技能,设计和优化交通控制灯系统。
情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发创新意识和探索精神。
2. 增强学生的环保意识和交通安全意识,认识到交通控制灯在现代社会中的重要性。
3. 培养学生的团队协作意识,学会尊重他人意见,共同解决问题。
课程性质:本课程结合了电子技术与实际应用,注重理论与实践相结合,以项目为导向,提升学生的综合技能。
学生特点:假设学生为初中年级,具有一定的电子元件知识基础,对新技术的学习充满好奇,具备基本的计算机操作能力。
教学要求:课程需注重实践性,通过互动式教学和团队协作,使学生在动手实践中理解和掌握知识,提高问题解决能力。
同时,强调安全意识和责任感,培养符合社会主义核心价值观的技能人才。
二、教学内容1. 交通控制灯基础知识:- 红绿灯工作原理介绍- 交通控制灯系统的组成与功能- 交通控制灯在交通安全中的作用2. EDA工具的应用:- EDA软件的认识与基本操作- 交通控制灯电路图的绘制方法- 电路仿真与调试技巧3. 交通控制灯电路设计与制作:- 基本电子元件的选择与应用- 交通控制灯控制逻辑的编写与实现- 电路板设计与焊接技巧4. 项目实践与优化:- 团队协作设计与制作交通控制灯系统- 控制逻辑优化与功能拓展- 作品展示与评价教学内容安排与进度:第一课时:交通控制灯基础知识学习第二课时:EDA软件的认识与基本操作第三课时:交通控制灯电路图绘制与仿真第四课时:电路设计与制作第五课时:项目实践与优化第六课时:作品展示与评价教材章节关联:教学内容与教材中“电子技术应用”章节相关,涉及电子元件、电路设计、EDA工具应用等方面的知识。
EDA实验交通灯设计报告
EDA原理及应用实验报告题目:交通灯控制器专业:电子信息工程班级:姓名:学号:一、设计题目:交通灯控制器二、设计目标:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
2、红、绿、黄发光二极管作信号灯。
3、主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。
4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。
5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。
三、设计原理:(含系统总的原理图)由两个分频器模块,三个计数器模块及它的选择器,一个扫描数码管模块,和一个红绿灯控制模块连接而成。
RTL状态图四、设计内容:(含状态转换图、软件流程图、说明文字等,每单独模块的图标和VHDL程序;最后为总体程序框图)分频器1LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT(CLK:IN STD_LOGIC;--D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);FOUT:OUT STD_LOGIC);END;ARCHITECTURE one OF DVF ISSIGNAL FULL:STD_LOGIC;BEGINP_REG:PROCESS(CLK)V ARIABLE CNT8:INTEGER RANGE 48000000 DOWNTO 0;BEGINIF CLK'EVENT AND CLK='1' THENIF CNT8=24000000 THENCNT8:=0;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)V ARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN FOUT<='1';ELSE FOUT<='0';END IF;END IF;END PROCESS P_DIV;END;说明:采用的是48M时钟输入,作为后面的时钟信号。
基于EDA技术的交通灯控制器设计
基于EDA技术的交通灯控制器设计
目前,人们的出行几乎无处不遇到交通灯的存在,随着汽车出行的重要性日益凸显,对交通灯的控制也需要更具灵活性和智能性。
EDA技术在交通灯控制方面前景明朗。
通过EDA技术以达到更加准确可靠的交通灯控控制,有效地提高交通灯的控制效率。
核心原理是将传统模糊控制和多层次精细控制结合起来,以便对交通灯状态进行多层次智能控制。
传统模糊控制有效解决实时交通状态变化响应性过快或过慢的问题,而多层次精细控制通过智能分析实时信息,使得交通控制更加准确可靠。
EDA技术在交通灯控制中应用,其核心技术就是实时数据的采集、存储、和处理。
可通过对街路、红绿灯等交通相关信息实时监控,从而调整交通流量及交通灯循环,有效提升交通灯的控制效能。
此外,EDA技术还可以应用在交通灯的可靠性上,例如可以进行故障诊断,实现交通灯的远程管理维护。
EDA技术应用于交通灯的控制,既可以让其变得灵活高效,又可以有效提升交通灯的可靠性和安全性,大大减少交通拥堵以及不必要的交通事故。
同时,基于EDA的交通灯控制器设计能够让其更加智能便捷,可在全国范围内带来更多的便利。
EDA交通灯设计(全)
目录一.方案分析与对比 (2)1.1方案分析 (2)1.2方案对比 (2)二.整体设计论述 (3)三.单元模块设计与仿真 (6)3.1时钟分频模块 (6)3.2交通灯控制及计时模块 (7)3.3扫描显示译码模块 (9)3.4顶层文件的编写 (10)四.硬件实验方案及实验结果 (13)4.1硬件实验方案 (13)4.2实验结果 (15)五.收获和体会 (15)六.参考文献 (13)附录(程序代码) (17)一.方案分析与对比§1.1方案分析通过分析可以知道,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。
能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。
还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。
可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。
§1.2方案对比实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器和单片机等方案来实现。
若用单片机方案来实现的话,模型可以由电源电路、单片机主控电路、无线收发控制电路和显示电路四部分组成。
在电源电路中,需要用到+5V的直流稳压电源,无线收发控制电路和显示电路应由编码芯片和数据发射模块两部分组成,主控电路的主要元件为AT89C51。
硬件设计完成后还要利用计算机软件经行软件部分的设计才能够实现相应的功能。
虽然利用单片机系统设计的交通灯控制器相对来说较稳定,能够完成较多功能的实现,但这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统设计与调试的困难。
相反,使用基于FPGA的设计方法具有周期短,设计灵活,易于修改等明显的的优点。
而且,随着FPGA器件、设计语言和电子设计自动化工具的发展和改进,越来越多的电子系统采用FPGA来设计。
基于EDAD的交通灯的设计
成绩指导教师:《EDA技术与实验》课程设计题目:基于EDA的智能交通灯控制器的设计姓名:陈小凡院系:电子信息工程系专业:通信工程班级:通信10学号: 010808244指导教师:2012年12 月基于EDA的智能交通灯控制器的设计陈小凡摘要:交通灯的智能化控制管理在当今的社会是必不可少的。
为此,将基于EDA技术和有限状态机来设计一套十字路口的智能交通灯控制系统。
整个系统由Verilog语言描述,利用东西和南北方向的绿、黄、红三种交通灯的状态控制来实现交通灯的智能控制,并由Max+plusⅡ软件进行仿真。
关键词:EDA;Verilog;交通灯;Max+plusⅡ软件;智能控制引言随着城市的发展,汽车数量的增加,交通管制变得越来越重要,特别是在十字路口处,交通灯已成为交通管制必不可少的重要工具。
传统的实现路口交通灯系统的控制方法有很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。
但近年来EDA(ElectronicDesignAutomation)技术的出现,可以替代设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能,基本上不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,所以出现了用EDA来设计交通灯控制的方案。
[1]本文将于EDA技术的基础上进行设计来实现交通灯的智能控制。
一设计原理本设计是基于DEA技术和有限状态机的工作原理(指输出取决于过去输入部分和当前输入部分的时序逻辑电路。
也就是说除了输入部分和输出部分外,有限状态机还含有一组具有“记忆”功能的寄存器)。
[2]在南北方向和东西方向个设置三颗交通灯(红、绿和黄)的亮灭来实现十字路口的交通的智能控制。
即当脉冲clock的上升沿到来时,东西方向的红灯(red1)亮,南北方向就亮绿灯(green2),让南北方向的车辆通行,在无复位时(reset!=1),clock 的上升沿时,让东西方向的黄灯(yellow1)亮,也就是绿灯向红的的转换过渡阶段;之后便是red2亮,green2亮,即让东西方向的车辆通行,并通过不同的输入se1和se2来控制状态的转换。
EDA交通灯控制器设计
EDA交通灯控制器设计
一、设计背景
随着生活水平的不断提高,交通工具的数量不断增加,以及人们对社会安全和时间管理的要求越来越高,需要一种更有效的交通灯控制器来控制交通灯的信号。
ZYNQ的FPGA具有许多优势,包括低功耗、低成本以及可编程性,为实现有效的交通灯控制器提供了可行性。
二、ZYNQFPGA的工作原理
ZYNQFPGA是一种可编程的逻辑器件,它有两个子系统,即可编程逻辑单元(PLU)和可编程接口单元(PIE)。
在PLU子系统中,根据用户提供的算法代码段,通过可编程逻辑单元(PLU)来实现复杂的算法处理逻辑。
PIE子系统通过可编程的接口单元实现输入/输出连接,以实现系统外部传感器和设备的数据采集和控制输出。
三、设计要求
本设计将使用ZYNQFPGA来设计一种交通灯控制器,用于控制交通灯的信号。
1)设计模块:设计一套可编程的逻辑,用于控制交通灯的信号,使其能够根据道路交通状态实时调整交通灯的信号。
2)控制子系统:设计一套控制子系统,用于实时监测和控制外部传感器和设备,以检测道路当前的交通状态,并实时调整交通灯的信号,以满足实时的交通需求。
基于EDA技术的交通灯控制系统设计
HEBEINONGJI摘要:本文基于EDA技术,采用VHDL硬件语言,利用EPM240T100C5芯片,设计了一个交通信号灯控制器,其通过计数器来实现十字路口信号灯的转变,并可在数码管上显示计时时间。
关键词:EDA;交通信号灯;数码管;VHDL基于EDA技术的交通灯控制系统设计河北农业大学机电工程学院李波杨梦迪王新鑫引言目前大多数交通灯控制系统是基于单片机的控制设计的,而随着当今电子科学技术的发展,传统的自下而上设计方法不再适应于趋势的发展,本文在此提出一种基于EDA技术的交通灯控制系统来实现对十字路口交通灯的控制O1系统设计要求本文设计的交通信号控制器控制的十字路口是由主、支干道汇合而成,其中每个入口处设置红、绿、黄三色信号灯。
如图1所示。
图1十字路口为方便说明,在此规定十字路口的通行规则如下,主、支干道交替通行,主干道每次放行45秒,支干道每次放行25秒,且由绿灯到红灯时有3秒的黄灯作为过渡。
程序设计时要有45秒、25秒计时显示电路。
2系统设计方案本文使用VHDL语言设计交通信号灯控制器,利用VHDL 语言进程语句并行执行的特点,将程序分为交通信号灯的亮灭、数码管的显示电路两个单独的模块进行设计,即本文以EPM240T100C5芯片作为核心,通过程序控制实现计数器计数状态的跳转,借助数码管显示秒数的跳转,借助二极管显示信号灯的亮灭,如图2所示。
图2系统设计3系统各部分设计3.1数码管显示电路的设计本文提到的数码管采用共阳极的七段数码管,共使用4个数码管,其中两个用于主干道描述跳转的显示,另外两个用于支作者简介:李波,男,1996年出生,河北邯郸人,本科,研究方向:电子信息工程。
干道秒数跳转的显示。
对于数码管的控制,将每个干道两个数码管的1-7引脚连接起来,然后使用EPM240T100C5芯片通过对阳极的选通实现每个数码管的亮灭,并通过动态扫描对数码管控制,使人眼可看到数码管的显示。
有关数码管的显示,根据系统设计要求,主干道秒数跳转从45进制到3进制再到25进制,支干道则从45进制到25进制再到3进制。
基于EDA技术设计交通灯系统
目录摘要 (I)Abstract .................................................. I I 第1章前言 (1)1.1 研究目的和意义 (1)1.2 国内外研究现状 (1)1.3 研究内容和方法 (1)第2章 EDA技术的介绍 (3)2.1 EDA技术 (3)2.2 VHDL (4)2.3 MAX+PLUSⅡ (6)2.4 CPLD (7)第3章交通灯控制系统方案验证 (9)3.1 交通系统的发展趋势 (9)3.2 设计方案及论证 (90)3.3 具体设计以及模块划分 (112)3.4 工作原理 (123)3.5 交通灯工作示意图 (123)第4章系统设计 (145)4.1 顶层电路设计 (145)4.2 CLK时钟秒脉冲发生电路 (155)4.3 交通灯主控模块 (166)4.4 定时单元以及显示控制、译码电路 (17)4.5 手动自动控制信号 (23)第5章仿真分析 (245)第6章总结与建议 (28)致谢 (29)参考文献 (290)附录 (301)摘要城市道路交通拥堵是现代城市迫切需要解决的问题,因而城市道路交通控制技术已成为当前控制领域和交通工程领域的研究热点和难点之一。
本文介绍的是基于EDA技术设计交通灯系统的一种方案。
它直接采用CPLD芯片开发,采用了层次化的设计方法,给出了各个模块的VHDL程序,利用了Max+PlusⅡ对应用程序进行了仿真,并给出了相应的仿真结果。
本交通灯控制器用红、黄、绿灯分别表示停车、等待、行进,用蓝色灯表示左拐,同时用数码管指示当前状态(红、黄、绿灯)剩余时间。
关键字:交通灯控制器,EDA,CPLD,VHDL,Max+PlusⅡAbstractThe congestion of urban traffic has become all urgent social problem,Intelligent control technique for urban roadway traffic is an important topic in control domain and traffic engineering domain. This paper introduces a scheme of the design of traffic signal systems based on EDA technology. It directly uses CPLD chip development, using a hierarchical design method, gives each module VHDL procedures, using the Max+Plus II on application for the simulation, and gives the simulation results. The traffic light controller with red, yellow, Green said parking, waiting, moving, with blue lights that turn left, at the same time, digital tube to indicate the current state ( red, yellow, green) remaining time.Key words: traffic light , EDA,CPLD,VHDL,Max+PlusⅡ第1章前言1.1研究目的和意义以往单一模式的定时控制已不能满足客观需要,所以我们要求寻找一种可以随时针对通道上车辆的密集程度来控制和调节主支干道的通禁时间,从而减少不合理的堵车现象的发生。
基于EDA的交通灯控制系统的设计
基于EDA的交通灯控制系统的设计————————————————————————————————作者:————————————————————————————————日期:目录第1章:绪论 (1)1。
1 EDA技术概述 (1)1.1.1 EDA技术的发展与应用 (1)1。
1。
2 EDA技术的基本特征 (1)1.1.3 EDA的设计方法 (2)1.2 数字系统 (2)1。
3 数字系统的设计方法 (3)1。
3.1 自底向上的设计方法(Bottom Up) (4)1.3。
2 自顶向下设计(Top Down) (4)1。
4 现代数字系统的设计过程 (4)第2章:交通灯控制系统介绍 (5)2。
1 交通灯控制系统的功能 (5)2.2 交通灯控制系统设计 (5)2.3 交通灯控制系统的基本组成模块 (5)2。
4 交通灯控制器状态 (6)2.5 程序流程图 (7)第3章:交通灯控制系统的设计 (7)3.1 交通灯控制器功能描述及设计方法 (7)3.2 设计要求 (7)3.2.1 控制部分的设计 (8)3.2。
2 显示部分的设计 (9)3。
2.3 分频器部分的设计 (10)3.3 交通灯控制系统的仿真 (11)3。
3。
1 对交通灯控制部分进行仿真 (11)3.3。
2 对交通灯显示部分模块进行仿真 (12)3.3.3 对交通灯系统进行仿真 (12)3.4 程序下载 (13)3。
4。
1 引脚配置 (13)3。
4.2 编程下载 (14)第4章:课程设计总结 (14)参考文献 (15)第1章:绪论1.1 EDA技术概述EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
基于EDA的交通灯控制器课程设计
基于EDA的交通灯控制设计一、设计背景和设计方案1)设计背景设计一个由一条支干道和一条主干道的汇合点形成的十字交叉路口的交通灯控制器,主要要求如下:1.主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。
2.主干道处于常允许状态,两支干道有车来才允许通行。
3.当主、支干道有车时,两者交替通行,主干道每次放行45s,支干道每次放行25s,在每次由亮绿灯变成亮红灯转换过程中,要亮5s黄灯作为过渡,并进行减计时显示。
2)设计方案2.1背景分析(一)、交通灯的功能是实现一个交叉口的通车控制,交通灯显示有以下4种状态:1.主干道绿灯,支干道红灯;2.主干道绿灯,支干道黄灯;3.主干道红灯,支干道绿灯;4.主干道黄灯,支干道绿灯。
(二)、支干道没车时,主干道一直亮绿灯;主干道没车时,主干道和支干道交替亮绿灯;主干道和支干道都有车时同样交替亮红绿灯。
在红绿灯交替时亮5s黄灯。
2.2方案及思路(一)分模块设计1、红、绿、黄灯控制模块,模块名JTDKZ;2、倒计时传输、控制模块XSKZ;3、倒计时45s——CNT45s;4、倒计时25s——CNT25s;5、倒计时5s——CNT05s。
6、输入、输出。
(二)模块设计思路1、JTDKZ——根据交通灯显示有4种状态,可以采用CASE语句设置选择4种状态。
设置3个输入:CLK(时钟脉冲)、SB(支干道传感器)、SM(主干道传感器)。
2、XSKZ——根据需要交通灯显示的不同数倒计时据设置4个输入使能信号:EN45(45s 倒计时使能信号)、EN25(25s倒计时使能信号)、EN05(5s倒计时使能信号);再设置5个倒计时计数数据输入信号将此时倒计时数据输出:AIN45M、AIN45B、AIN25M、AIN25B、AIN05;2个输出信号使数码管显示正在倒计时的时间。
3、CNT45S——根据倒计时计数的要求设置3个输入信号:CLK(计数脉冲)、EN45(计数使能)、SB(支干道传感器信号);2个输出DOUT45M、DOUT45B,分别用于主、支干道显示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA 课程设计报告书
课题名称 基于EDA 的交通灯控制系统设计 姓 名
陈 勇
学 号 0812201-08 院 系 物理与电信工程系 专 业 电子信息工程 指导教师
田旺兰 讲师
※※※※※※※※※ ※※ ※
※ ※
※
2008级学生
EDA 课程设计
2011年 6月10日
基于EDA的交通灯控制系统设计
1 设计目的
(1)掌握十字路口交通灯控制的设计原理,并能够运用VHDL编程语言编写出实验程序,进一步对所学的EDA知识进行掌握与实际应用。
(2)学会在quartusII软件环境中仿真,熟悉软件的基本操作和运行环境。
(3)锻炼自己获取信息的能力,以及能够独立自主的思考和解决问题的能力。
2设计的主要内容和要求
设计一个基于EDA的交通灯控制系统,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。
能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。
还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。
可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。
3 整体设计方案
根据设计要求和系统所具有功能,并参考相关的文献资料,经行方案设计,画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如
图3.1所示。
并且可以得出系统的状态图如图3.2所示,其中:S0:支干道没有车辆行驶,支干道绿灯,支干道红灯
S1:支干道有车辆行驶,支干道绿灯,支干道红灯
S2:主干道黄灯,支干道绿灯
S3:主干道红灯,支干道绿灯
S4:主干道红灯,支干道黄灯
图3.1 整体设计方框图
图3.2 系统状态图
4 硬件电路的设计
4.1 顶层文件原理图
根据以上设计思路,可以得到如下的顶层文件原理图如4.1所示,具体实物模块如图4.2所示。
图4.1顶层文件原理图
图4.2顶层文件的实体图图4.3时钟分频器模块
4.2 时钟分频器模块设计
分频器实现的是将高频时钟信号转换成低频时钟信号,用于触发控制器、计数器和扫描显示电路。
系统的动态扫描需要1HZ的脉冲,而系统时钟计时模块需要1HZ的脉冲。
分频模块主要为系统提供所需的时钟计时脉冲。
该模块将1kHZ 的脉冲信号进行分频,产生1S的方波,作为系统时钟计时信号。
具体实物模块如图4.3所示。
4.3 控制及计时模块设计
控制模块根据外部输入信号和计时模块产生的输出信号,产生系统的状态机,控制其他部分协调工作。
计时模块用来设定主干道和支干道计时器的初值,并为扫描显示译码模块提供倒计时时间。
控制及计时模块采用状态机进行设计,可以定义出5种状态,分别为S0:主干道绿灯,支干道红灯且没有车辆行驶;S1:主干道绿灯,支干道红灯或支干道有车辆驶入;S2:主干道黄灯,支干道红灯;S3:主干道红灯,支干道绿灯;S4:主干道红灯,支干道黄灯。
利用CASE语句定义状态的转换方式及时间的变换方式,达到主干道绿灯亮45秒,支干道绿灯亮25秒,黄灯亮5秒的设计要求。
具体实物模块如图4.4所示,其中:
CAR为支干道车辆检测开关
在支干道有车的情况下,模块可以进行减计时。