NCveriog安装指南

合集下载

nc客户端安装及登录

nc客户端安装及登录

NC客户端安装及登录对于省高速、新粤、粤港、南粤物流等已经使用过NC的单位,NC客户端的安装及登录方式跟其他单位不同,特意说明如下:一、NC客户端安装1、运行NC_Client 这个文件夹里面的setup2、将 clientStartup.bat 这个文件 copy到 :\ufida\ncv5client\bin 这个目录二、客户端配置1、在开始-程序-ERPNC-客户端设置 ----配置 IP地址(128.1.1.90)、端口号(8080)【练习账套配置】。

以后正式使用时,将IP地址及端口号修改如下:IP地址(128.1.1.88)、端口号(80)【正式账套配置】。

三、用客户端登陆(不需要启动IE和输入IP地址)1、在开始-程序-ERPNC-启动NC客户端,弹出NC登录界面(本登录方式不需要,也不能通过录入IP地址登录,否则,原来的NC账不能登录)。

四、IUFO报表登录1、iufo报表的登录,还是采用IE登录,输入IP地址,点击 iufo登录。

教你如何用WORD文档 (2012-06-27 192246)转载▼标签:杂谈1. 问:WORD 里边怎样设置每页不同的页眉?如何使不同的章节显示的页眉不同?答:分节,每节可以设置不同的页眉。

文件――页面设置――版式――页眉和页脚――首页不同。

2. 问:请问word 中怎样让每一章用不同的页眉?怎么我现在只能用一个页眉,一改就全部改了?答:在插入分隔符里,选插入分节符,可以选连续的那个,然后下一页改页眉前,按一下“同前”钮,再做的改动就不影响前面的了。

简言之,分节符使得它们独立了。

这个工具栏上的“同前”按钮就显示在工具栏上,不过是图标的形式,把光标移到上面就显示出”同前“两个字来。

3. 问:如何合并两个WORD 文档,不同的页眉需要先写两个文件,然后合并,如何做?答:页眉设置中,选择奇偶页不同与前不同等选项。

4. 问:WORD 编辑页眉设置,如何实现奇偶页不同比如:单页浙江大学学位论文,这一个容易设;双页:(每章标题),这一个有什么技巧啊?答:插入节分隔符,与前节设置相同去掉,再设置奇偶页不同。

卫生型称重模块 Novego

卫生型称重模块 Novego

安装手册卫生型称重模块Novego®原版安装手册的翻译9499 053 26109版本 1.15.005/10/2022 Minebea Intec GmbH, Meiendorfer Str. 205 A, 22145 德国汉堡电话:+49.40.67960.303传真:+49.40.67960.383前言必须遵守!本文档中的任何信息如有更改,恕不另行通知,除非法律规定,并不代表Minebea Intec做出的任何承诺。

只能由经过培训和合格的人员操作/安装本产品。

在与本产品有关的信函中,必须引用与产品有关的类型、名称、版本号/序列号以及所有许可证号。

注意本文档部分受到版权保护。

未经购买或版权所有者(Minebea Intec)的书面许可,不得擅自修改或复制。

使用本产品即表示您接受上述规定的要求。

卫生型称重模块Novego®目录目录1介绍 (4)1.1阅读手册 (4)1.2这是使用说明的介绍 (4)1.3这是列表的介绍 (4)1.4这是菜单项和软键的介绍 (4)1.5这是安全指令的介绍 (4)1.6热线 (5)2安全指令 (6)2.1一般注意事项 (6)2.2预期用途 (6)2.3初步检查 (6)2.4在启动运行之前 (6)3安装建议 (7)3.1称重模块的位置 (7)4规格 (8)4.1与称重模块一起提供的设备 (8)4.2一般信息 (9)4.3用于防爆区域的称重传感器的可能标记 (10)4.4带摆锤底座 PR 6061/02S 的称重模块尺寸 (11)4.5带摆锤底座 PR 6061/03S 的称重模块尺寸 (12)4.6带摆锤底座 PR 6061/04S 的称重模块尺寸 (13)4.7带高度调节器 PR 6061/01S 的接装板尺寸 (14)4.8订购信息 (15)4.8.1称重传感器的订购信息 (15)4.8.2选配附件的订购信息 (15)4.8.3配件的订购信息 (15)4.9称重传感器的技术数据 (15)4.10运输锁和 PR 6061/06S 的技术数据 (17)5安装 (18)5.1在安装之前 (18)5.1.1准备基座 (18)5.1.2准备地面 (19)5.1.3准备容器底脚 (22)5.2拧紧扭矩 (23)Minebea Intec ZH-1卫生型称重模块Novego®目录5.3组件 (23)5.3.1安全指令 (23)5.3.2安装称重模块 (25)6连接 (37)6.1一般信息 (37)6.2称重传感器 (38)6.3电缆连接 (38)7准备校准 (40)7.1一般注意事项 (40)7.2智能校准 (40)7.3机械高度调整 (40)8故障排除 (41)8.1一般注意事项 (41)8.2目视检查 (41)8.3计量控制 (41)8.3.1检查称重传感器的零输出信号 (41)8.3.2检查称重传感器的应变仪电桥 (42)8.3.3检查称重传感器的绝缘阻抗 (42)8.3.4检查连接电缆的绝缘阻抗 (42)9保养/维修/清洁 (43)9.1护理和维护 (43)9.1.1维护 (43)9.1.2更换称重传感器 (43)9.2维修 (47)9.3清洁 (47)10废弃处置 (48)11选配附件 (49)11.1用于Novego®框架安装的 PR 6061/02S 摆锤底座 (49)11.2用于Novego®地面安装的 PR 6061/03S 摆锤底座 (50)11.3用于Novego®地面安装的 PR 6061/04S 摆锤底座,带倾斜校正 (51)12备件 (52)13配件 (53)13.1连接电缆 (53)13.2电缆接线盒 (53)13.3Connexx 模块 (54)ZH-2Minebea Intec卫生型称重模块Novego®目录13.3.1规格 (54)13.3.2连接 Connexx 模块 (55)13.3.3附挂选件 (56)13.3.4Connexx 模块的连接部件 (62)13.4用于 Novego®的 PR 6061/00S 接装板 (63)13.5用于 Novego®带高度调节器的 PR 6061/01S 接装板 (64)13.6用于 Novego®的 PR 6061/05S 金属板套装 (65)13.7Novego® 的PR 6061 /06S 运输和安装套件 (66)13.8PR 6061 / 07S,Novego® 固定轴承 (67)14证书/安全指示/控制图 (68)14.1BVS 16 ATEX E 005 (69)14.2IECEx BVS 16.0005 (73)14.3TÜV 03 ATEX 2301X (77)14.4IECEx TUN 17.0025X (83)14.5MIN16ATEX001X (87)14.6FM17CA0138 (89)14.7FM17US0276 (92)14.84012 101 5688 (95)14.9MEU18004 (96)14.10RU Д-DE.A301.B.05345 (102)14.11RU С-DE.МЮ62.В.05836 (103)14.12DE.C.28.001.A No. 70234 (106)14.13DE-15-PC-PTB009 (112)14.14R60/2000-NL1-17.41 (118)14.15TC11066 (120)14.1617-094 (123)14.1710032 (125)Minebea Intec ZH-3卫生型称重模块Novego® 1 介绍1介绍1.1阅读手册-在使用产品之前,请仔细阅读本手册。

定性分析软件 NVivo 8 的安装与使用

定性分析软件 NVivo 8 的安装与使用

NVivo 8 从安装到简单使用再到举例说明(2011-07-03 14:01:19)转载NVivo 8 从安装到简单使用再到举例说明作者:杨晓哲NVivo是一款辅助型信息发掘工具,旨在帮助个人和组织挖掘数据信息,可视化管理和呈现。

简单来说,它可以辅助你标记各种多媒体材料,帮助你检索信息,并协助你把信息制成图表。

(个人初解)一、NVivo 8下载和安装个人学习使用,可以使用官方提供的30天试用版本。

登入该官方网站:/nvivo/download.htm填写个人信息后,即可免费下载,使用30天。

(途径正规,绝对纯正)下载后双击图标即可安装。

安装的时候可以选择中文版或是英文版,根据个人习惯。

二、简单使用打开软件,建立项目可出现如下窗口。

左下方8个选项为该软件的基本主要功能。

可以看成基本步骤。

以下简单介绍其中几个关键的功能。

NVivo是对信息的再处理,再分析的软件。

第一步导入材料NVivo提供了内部材料,外部材料等方式,可以导入多种格式的资料。

包括:∙Microsoft Word (.doc, .docx)∙RTF 格式 (.rtf)∙文本 (.txt)∙可移植文档格式 (.pdf)∙视频 (mpg, mpeg, mpe, wmv, avi, mov, qt, mp4)∙音频 (mp3, wma, wav)∙图片(.bmp、.gif、.jpg、.jpeg、.tif 或 .tiff)第二步处理材料材料导入后仅是材料而已,这时候需要对材料进行再处理,以便进行主题的探讨。

可以对文本进行再编辑,对视频和图片进行标记处理、脚本处理。

1、任何文字均可批注选择任何文字后,单击上方链接-->批注-->新建批注即可批注文字。

2、图片局部编辑说明这是一个好用的功能。

可以对图片的局部框选进行说明。

这样子更能有效的标记和传达信息。

例如下图,将框选部分进行备注说明,表明框选部分为公司标志。

3、视频编辑脚本对视频编辑脚本相当于再分析视频内容,并对视频内容进行时间段说明。

Nc数据库安装过程

Nc数据库安装过程

Nc数据库安装过程配置存储设备:增加两个vg,一个用于保存数据库,另一个用于提供磁盘心跳。

修改ip地址使之符合crs集群及hacmp集群要求。

主网卡和虚拟地址应该在相同网段。

专用网卡应该和上述两个地址在不同的网段。

例如我们的设置:# ifconfig -aen4:flags=1e080863,c0<UP,BROADCAST,NOTRAILERS,RUNNING,SIMPLEX,MULTICAST,GRO UPRT,64BIT,CHECKSUM_OFFLOAD(ACTIVE),LARGESEND,CHAIN>inet 192.168.200.2 netmask 0xffffff00 broadcast 192.168.200.255tcp_sendspace 131072 tcp_recvspace 65536 rfc1323 0en5:flags=1e080863,c0<UP,BROADCAST,NOTRAILERS,RUNNING,SIMPLEX,MULTICAST,GRO UPRT,64BIT,CHECKSUM_OFFLOAD(ACTIVE),LARGESEND,CHAIN>inet 10.1.1.2 netmask 0xffffff00 broadcast 10.1.1.255tcp_sendspace 131072 tcp_recvspace 65536 rfc1323 0lo0:flags=e08084b<UP,BROADCAST,LOOPBACK,RUNNING,SIMPLEX,MULTICAST,GROUPRT ,64BIT>inet 127.0.0.1 netmask 0xff000000 broadcast 127.255.255.255inet6 ::1/0tcp_sendspace 131072 tcp_recvspace 131072 rfc1323 1修改/etc/hosts文件,创建主机名和ip映射关系:127.0.0.1 loopback localhost # loopback (lo0) name/address192.168.200.2 ncdb110.1.1.2 ncdb1priv192.168.200.4 ncdb1vip192.168.200.3 ncdb210.1.1.3 ncdb2priv192.168.200.5 ncdb2vip创建双机授信文件:# more /usr/es/sbin/cluster/etc/rhostsncdb1ncdb2ncdb1privncdb2privncdb1vipncdb2vip双机上要激活aio配置:配置双机时间,时间要基本上要一致。

NC客户端安装操作手册

NC客户端安装操作手册

NC系统客户端安装操作手册目录安装操作: (3)1IE方式安装 (3)1.1 IE设置 (3)INTERNET安全级别设置 (3)本地INTRANET安全级别设置 (5)IE高级设置 (6)1.2 下载并安装客户端 (7)1.3 登录NC系统 (11)1.4 修改用户口令 (11)1.5 退出财务管理系统 (12)2客户端安装 (13)安装操作:NC的安装有两种方式,第一种是通过IE从服务器下载客户端后直接安装,第二种是通过客户端安装软件安装。

1IE方式安装1.1IE设置双击桌面的IE图标,打开IE浏览器,点击齿轮,在点击“Internet选项”,对IE进行设置。

INTERNET安全级别设置在IE属性界面点击【安全】页签,选中【Internet】,点击【自定义级别】,在系统跳出的安全设置框中将“ActiveX控件和插件”选项下的5个子选项全部改为【启用】,点击【确定】,完成Internet安全级别设置。

注意:除了上图中的3个子选项外,下面还有2个子选项!本地INTRANET安全级别设置在IE属性界面点击【安全】页签,选中【Internet】,点击【自定义级别】,在系统跳出的安全设置框中将“ActiveX控件和插件”选项下的5个子选项全部改为【启用】,点击【确定】,完成Internet安全级别设置。

注意:除了上图中的3个子选项外,下面还有2个子选项!IE高级设置在IE属性界面点击【高级】页签,找到【安全】选项,将【关闭浏览器时清空Internet临时文件夹】子选项选中,点击【确定】,完成本次IE高级设置。

1.2下载并安装客户端在第一次NC系统时,系统会自动安装管理系统的客户端程序到本地。

打开IE,在IE 地址栏中录入财务管理系统的地址“app.linuo.”回车。

系统进入NC界面,点击【ERP-NC】按钮:浏览器可能会出现一个提示条,点击提示条后选择“为此计算机上的所有用户安装此加载项”:IE会弹出如下提示框:点击【重试】后,系统开始NC客户端程序的安装。

搭建NC环境(附数据库安装)手册教学提纲

搭建NC环境(附数据库安装)手册教学提纲

搭建NC环境一、Oracle 10g的安装程序1.在安装盘上打开命名中含有“database”的文件夹,会看到setup.exe 的安装文件,双击点开进行数据库的安装,如图:在Oracle 主目录位置可以通过点“浏览”进行选择,“全局数据名”必须填“orcl”,数据库口令可以填“orcl”,也可以填其它;2安装条件检查:在下图红色笔圈定的区域中点击空白方形图,使状态变为“用户已验证”3点击安装,如图:然后会呈现以下界面,如图:接下来数据库安装结束,点击“退出”。

二、Oracle 10g的客户端的安装1.在安装盘上打开命名中含有“client”的文件夹,会看到setup.exe的安装文件,双击点开进行客户端的安装,如图:点击“下一步”,然后选择“管理员”,如图:2选择安装客户端的路径(与数据库在同一路径下)如图:然后点“下一步”,在后面的步骤中,“服务器名”必须填“orcl”,“主机名”必须填“127.0.0.1”。

3客户端安装结束,点击“退出”,如图:三、配置Oracle 10g1.点击“开始”“所有程序”“Oracle-OraClient10g_homel”“Enterprise Manager Console”,会出现如下界面:“主机名”必须填“127.0.0.1”,“SID”必须填“orcl“,然后“确定”,再双击“数据库”,如图:“用户名”填“SYS”,“口令”可以任意输入,“连接身份”选择“SYSDBA”,然后“确定”。

2建六个表空间,要求如下:UFIDA用友软件NC管理软件5.02 在使用Oracle 9i 或10g 数据库时布局要求:建立NNC_DATA01、NNC_DATA02、NNC_DATA03、NNC_INDEX01、NNC_INDEX02、NNC_INDEX03 六个表空间,对6个表空间的最小大小有具体要求。

具体数据文件存放位置、存放形式、数据文件个数没有限制,在具体使用中需要根据实际情况修改数据文件存储的位置和大小,达到磁盘最大读写效率。

cadence_ldv即ncverilog安装方法

cadence_ldv即ncverilog安装方法

安装:ftp上的share文件夹中有个CADENCE_LDV_V5.0文件夹,运行其中的setup程
序,选择第三项安装。

注意,安装可能需要管理员权限。

破解:CADENCE_LDV_V5.0文件夹中的crack文件夹中有个ldv.DAT文件,将这个文件
放在任一目录下,如“C:\Program Files\Cadence Design Systems\LDV”。

右击“我的电脑”,“高级”,“环境变量”,在“环境变量”对话框上方的选择区中找到其中的“LM_LICENSE_FILE”项(如没有可新建),选择“编辑”,在已有项后面加入ldv.DA T文件路径。

如“C:\Program Files\Cadence Design Systems\LDV\ldv.DAT”(将红字部分用你存放ldv.DAT文件的目录替代),注意,新项与之前项用分号隔开。

设置:右击“我的电脑”,“高级”,“环境变量”,在“环境变量”对话框下方的选择区中
找到其中的“Path”项(如没有可新建),选择“编辑”,在已有项后面加入bin文件夹文件路径。

如“C:\Program Files\Cadence Design Systems\LDV\tools\bin”(将红字部分用你安装时选择的安装目录替代),注意,新项与之前项用分号隔开。

运行:“开始”,“运行”,输入“cmd”打开命令行,在命令行中输入“ncverilog”即可运行nc_verilog。

如有问题可以在qq上问我。

NC安装步骤

NC安装步骤

NC安装步骤在安装NC之前,首先通过串口线登录NC,配置诸如密码、管理口IP、时区等基本配置。

然后通过Web界面进行界面操作。

登录时在浏览器中输入:https://管理IP即可1桥(透明)模式1.1网口设置在桥模式下,两个网口eth1和eth2的IP和子网掩码都配成0.0.0.0,状态设为UP。

路径为Home-》Cluster-》NC-》Interface1(或2)如下图所示:点击Update保存,eth2做同样设置。

注意:管理口默认禁ping。

如果要开通ping功能,请执行以下操作:选择Management,进行Access Control点击icmp,然后点击Edit将Action选为Allow,然后Update即可。

1.2Bridged Vsite设置在桥模式下,需要创建Bridged Vsite,如下图所示:点击Add下拉框,选择Bridged Vsite定义服务名称,点击Add添加。

添加后,会出现bridged-vsite,点击进入。

1.3服务器群设置进入bridged-vsite,添加应用点击Add下拉框,选择Web Application,配置服务注意:在桥模式下,VIP与真实服务器IP一致,命名此服务,状态一定要UP,然后点击Add添加。

点击web-application选择Web Firewall,点击Edit,选择主被动模式将Passive Mode选为Yes开启被动,选为No关闭被动。

注意Status要选为On。

至此,桥模式配置完毕。

2双臂(路由)模式在双臂模式下,eth1和eth2处于不同网段,后台服务器网关指向eth2。

网口配置如桥模式一样,根据用户分配的IP进行设置。

默认路由的添加如下所示:进入Viste,选择Network Firewall,选择Routes,做以下配置:点击Add即可。

2.1创建Vsite在桥模式下,需要创建Bridged Vsite,如下图所示:点击Add下拉框,选择Vsite定义服务名称,点击Add添加。

IUS9.2_ncverilog安装

IUS9.2_ncverilog安装

下载ius9.2解压后一共六个文件打开超级终端,进入到此六个文件目录下第一步:./SETUP.SH第二步:设定安装目录(即安装到哪里)第三步:输入y第四步:设定安装源文件的目录(即,一开始解压的六个文件的那个目录)第五步:【与第三步一样】输入y第六步:【与第四步一样】设定安装源文件的目录(即,一开始解压的六个文件的那个目录)第七步:第六步后会弹出窗口,路径就是刚才第四步与第六步设定的路径,不要改,点NEXT>第八步:点NEXT>第九步:路径就是刚才第二步设定的路径,不要改,点NEXT>第十步:全部勾选,点NEXT>第十一步:点install第十二步:等待(直到100%安完),点close第十三步:弹出窗口,点NO第十四步:关掉下面界面,就会回到终端第十五步,进入安装目录,即第二步的目录,在安装目录下给tools.lnx86建一个快捷方式叫tools(使用命令ln -s tools.lnx86 tools)第十六步:复制sfk和patch_cadence(这两个文件是破解文件,到下面网址能下载到,同时也能下载到IUS软件,感谢该网友的无私奉献,/viewthread.php?tid=304428&from=favorites)到IUS安装目录下,执行./patch_cadence第十七步:在windows上面制作license首先打开LicGen.exe软件,点击open,打开Cadence.lpd然后按下图填写,注意Custom后面的那一串是机器的MAC地址,如果是用虚拟机的话,在终端中运行/sbin/ifconfig -a Hwaddr 后面就是虚拟机的MAC!,点击Generate,然后Save成license.dat。

之后用记事本打开license.dat,第一行的SERVER 后面要改成虚拟机的主机名,虚拟机主机名一般默认是localhost.localdomain 。

用友NC57 oracle10g安装文档

用友NC57 oracle10g安装文档

用友软件——实习笔记之NC57安装篇安装概要NC57的安装共有六步:1.oracle的安装2.产品的安装3.NcSysConfig.bat文件的设置4.中间件的启动5.客户端的安装与浏览器的设置6.系统管理设置第一章oracle数据库的安装1.1软件准备从网上下载一个oracle安装文件,方法很多,例如从官方下载、用迅雷下载、用电驴下载,在此不多说了。

1.2安装数据库1.2.1选择安装方法主目录位置设置数据库文件的位置,安装类型选择“企业版(1.3GB)”,勾选创建启动数据库(勾选则在安装完数据库是创建数据库实例),选择全局数据库名(这里我选择了orcl)和数据库口令(sys)注意数据库名和口令都要以字母开头。

完成点击下一步。

如图:闪过。

1.2.2 产品先决条件检查这里一般不需要我们的操作,只要注意每项检查都通过就行,不是操作系统很有问题,这一步一般没什么事直接点下一步。

1.1.3 安装直接点击安装,没什么事。

然后出现以下界面很快又会弹出一个窗口,继续安装。

1.2.4 口令设置点击口令管理,我们需要设置下口令。

早期版本中oracle提供了默认口令,但出于安全性考虑,10g版本不在提供默认口令,而需设置。

点击后进入口令管理界面如下。

出于方便,对于sys用户,口令设置为sys,system设置为system。

点击确定,完成口令的设置。

点确定完成安装。

安装结束,退出安装程序。

第二章建立表空间2.1 软件选择建立表空间,可以用oracle自带的SQL PLUS ,但是它是单行执行,不推荐使用,这里我采用PL/SQL DEVELOPER工具,他可以很方便的在网上下载。

安装完成后如下界面密码是之前设置的sys。

点ok进入,选择文件,新建一个SQL窗口。

在SQL窗口中复制以下内容:——————————————————————————————CREATE TABLESPACE NNC_DATA01 DATAFILE'C:\oracle\product\10.2.0\oradata\orcl\nnc_data01.dbf' SIZE 500M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_DATA02 DATAFILE'C:\oracle\product\10.2.0\oradata\orcl\nnc_data02.dbf' SIZE 300M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_DATA03 DATAFILE'C:\oracle\product\10.2.0\oradata\orcl\nnc_data03.dbf' SIZE 500M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 512K ;CREATE TABLESPACE NNC_INDEX01 DATAFILE'C:\oracle\product\10.2.0\oradata\orcl\nnc_index01.dbf' SIZE 500M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE TABLESPACE NNC_INDEX02 DATAFILE'C:\oracle\product\10.2.0\oradata\orcl\nnc_index02.dbf' SIZE 300M AUTOEXTEND ON NEXT 50MEXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE TABLESPACE NNC_INDEX03 DATAFILE'C:\oracle\product\10.2.0\oradata\orcl\nnc_index03.dbf' SIZE 500M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_iufo DATAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_iufo.dbf' SIZE 200M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE USER NC IDENTIFIED BY NC DEFAULT TABLESPACE NNC_DATA01 TEMPORARY TABLESPACE temp;GRANT connect,dba to NC;CREATE USER iufo IDENTIFIED BY iufo DEFAULT TABLESPACE NNC_iufo TEMPORARY TABLESPACE temp;GRANT connect,dba to iufo;———————————————————————————————————————————注意下图中框的表空间路径要根据你数据库的位置设置。

Ncverilog 命令使用详解

Ncverilog 命令使用详解

Ncverilog 命令使用详解我们知道,由于NC-Verilog使用了Native Compile Code 的技术来加强电路模拟的效率,因此在进行模拟时必须经过compile(ncvlog 命令)以及elaborate(n celab命令)的步骤。

编译之后,针对每一个HDL设计单元会产生中间表达。

接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。

最后使用ncsim命令来进行模拟。

三命令模式命令如下:ncvlog -f run.fncealb tb -access wrcncsim tb -gui第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb 文件放在首位,这样可以避免出现提示timescale的错误。

第二个命令中,access选项是确定读取文件的权限。

其中的tb是你的tb文件内的模块名字。

第三个命令中,gui选项是加上图形界面值得注意的是,在这种模式下仿真,是用“ - ”的。

而下边要说的ncverilog是采用“ + ”的。

单命令模式ncverilog +access+wrc rtl +gui在这里,各参数与三命令模式相同。

注意“ + ”。

在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。

+cdslib+... 设定你所仿真的库所在+define+macro ... 预编译宏的设定+errormax+整数当错误大于设定时退出仿真+incdir+path 设定include的路径+linedebug 允许在代码中设定line breakpoint+log+logfile 输出到名为logfile的文件中+status 显示内存和CPU的使用情况+work 工作库+access+w/r/c 读取对象的权限,缺省为无读(-w)无写(-r)无连接(-c)+gui 显示图形交互界面+input script_file 输入脚本文件+licqueque 如无licence等待licence+run 如果在GUI交互界面下, 启动后将自动开始仿真+loadpli1=... 动态加入PLI+timescale 设定仿真单位和精度+nocopyright 不显示版权信息。

NC-OA协同软件安装

NC-OA协同软件安装

NC-OA协同软件安装1系统安装1.1 数据库安装1)NC协同‐OA套件要求数据库实例的字符集必须选择Unicode(AL32UTF8)编码方式,其余数据库参数可采用默认值。

2)创建nc所需的表空间及用户,例如这里我们使用数据库实例ncoa,nc数据库用户nc503)创建oa所需表空间及用户,并授权:CREATE SMALLFILE TABLESPACE "V3XSPACE" DATAFILE 'F:\oracle\10.2.0\oradata\ncoa\v3xfile' SIZE 100M AUTOEXTEND ON NEXT 2000K MAXSIZE UNLIMITED LOGGINGEXTENT MANAGEMENT LOCAL SEGMENT SPACE MANAGEMENT AUTOcreate user v3xuser profile default identified by oracle default tablespace v3xspace temporary tablespace temp account unlock;grant connect,dba to v3xuser;4)启动oracle 版的OA应用服务之前,要求在应用服务器上必须安装oracle 客户端。

注意事项:安装Oracle 客户端时,版本必须与服务器端版本保持一致。

1.2 安装nc1)安装nc56的uap,hr,portal(这个在之后配置ncportal集成oa时要用);2)执行初始化数据的操作或者导入数据到数据库;3)解压缩NC协同-OA套件中的ncdeploy,拷贝其到nchome下;解压缩Portal56集成OA补丁,拷贝到nchome下(在后面设置ncportal集成oa登陆时,解决自动注销问题)1.3 安装OA协同套件开始安装程序,完成后选择下一步;注册信息成功后,选择【完成】1.4 安装后的配置nc及oa1.4.1配置oa启动oa系统配置(A8应用配置器)nc.db.url-----jdbc:oracle:thin:@20.1.68.20:1521:ncoaername----nc50nc.db.password----oraclenc.server.url.prefix--------http://20.1.68.20:8585nc.accountcode-------testa8.plugin.nc.enbaled------1 (决定是否在oa中显示同步数据设置的标签)1.4.2 注意:在安装OA应用程序后要做下面的操作:1)Oracle9i、Oracle10g必须拷贝$ 【oracle_client_home】/client_1/jdbc/lib 下的classes12.jar 和ojdbc14.jar 文件到【oa_home】/common/lib 下;2)Oracle11g 必须必须拷贝$【oracle_client_home】/client_1/jdbc/lib 下的ojdbc5.jar 文件到【oa_home】/common/lib 下(如果【oa_home】/common/lib 存在classes12.jar 和ojdbc14.jar,则必须删除这两个文件,否则将不能正常运行)如果不做上面的操作测试数据库连接的时候则报下面的错误:执行如下图中的拷贝:1.4.3 配置nc1)ncdeploy执行F:\ufsoft\nc56\ncdeploy\setup.bat启动配置窗口:如下集成方案处先选择1,然后关闭配置窗口,重新启动setup.bat,设置集成方案为2;并加入nc的ip和oa的ip;2)启动ncSysConfig2-1)设置数据源:添加两个数据库源,一个作为连接nc的数据源,一个指定名称为design作为同步数据用的数据源;2-2)设置外部信任IP2-3)全选,然后点击部署EJB:3)验证上面的配置:检查如下目录下是否生成其中的内容;查看下面路径下是否生成文件;先启动nc;然后可以启动oa(运行D:\ufsoft\oa\ApacheJetspeed\bin\startup.bat)如下图为正常的启动;或者:【开始—程序—NC 协同‐OA 套件控制台】后,有小地球图标出现在菜单栏里;然后选中小地球鼠标右键选项中选择【启动服务】当小地球停止闪烁,变成持续转动状态时表示NC协同‐OA套件启动成功;4)问题:当不按照1)中的顺序设置ncdeploy,则有可能启动oa报如下的错误,导致oa无法启动;当按1)中的顺序设置后,需要使用集成方案1时,可以再设置为1即可(或者拷贝已经正确配置ncdeploy后的nchome下的ierp文件夹到当前的nchome下,然后设置需要的数据源即可,如下图所示)报错信息1:报错信息2:==============================================================================初始化连接池....连接池初始化完毕!初始化连接池....10,20,30,40,50,60,70,80,90,100连接池初始化完毕!log4j:WARN No appenders could be found for logger (mons.digester.Digester.sax).log4j:WARN Please initialize the log4j system properly.17:01:34 [main] INFO: Log4JConfigurator:70 - A8 logging configured17:01:34 [main] INFO: SystemInitialized:216 - ServerInfo : Apache Tomcat/5.5.2717:01:34 [main] INFO: SystemInitialized:308 - 加载应用服务器的参数. [db.hibernateDialect = org.hibernate.dialect.OracleDialect]17:01:34 [main] INFO: SystemInitialized:308 - 加载应用服务器的参数. [workflow.dialect = Oracle]**************************************************************************Exception,Error : 验证NC-OA产品加密无效: 请先配置OA相关信息报错信息3:启动nc中的相应报错信息正常启动oa的信息:2010-6-25 13:18:56 org.apache.catalina.core.AprLifecycleListener lifecycleEvent信息: The Apache Tomcat Native library which allows optimal performance in production environments was not found on the java.library.path: D:\ufsoft\oa\jdk\bin;.;C:\WINDOWS\system32;C:\WINDOWS;d:\oracle\product\10.2.0\client_1\bin;C:\WINDOW S\system32;C:\WINDOWS;C:\WINDOWS\System32\Wbem2010-6-25 13:18:56 org.apache.coyote.http11.Http11BaseProtocol init信息: Initializing Coyote HTTP/1.1 on http-802010-6-25 13:18:56 org.apache.catalina.startup.Catalina load信息: Initialization processed in 734 ms初始化连接池....连接池初始化完毕!初始化连接池....10连接池初始化完毕!2010-6-25 13:18:59 org.apache.catalina.core.StandardService start信息: Starting service Catalina2010-6-25 13:18:59 org.apache.catalina.core.StandardEngine start信息: Starting Servlet Engine: Apache Tomcat/5.5.272010-6-25 13:18:59 org.apache.catalina.core.StandardHost start信息: XML validation disabledlog4j:WARN No appenders could be found for logger (mons.digester.D igester.sax).log4j:WARN Please initialize the log4j system properly.13:19:15 [main] INFO: Log4JConfigurator:70 - A8 logging configured13:19:15 [main] INFO: SystemInitialized:216 - ServerInfo : Apache Tomcat/5.5.2713:19:15 [main] INFO: SystemInitialized:308 - 加载应用服务器的参数. [db.hiberna teDialect = org.hibernate.dialect.OracleDialect]13:19:15 [main] INFO: SystemInitialized:308 - 加载应用服务器的参数. [workflow.d ialect = Oracle]13:19:20 [main] INFO: console:236 - 当前产品版本: ufidanc; edition.ufidanc.deve lopment; V3.1213:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, edoc, 公文插件13:19:24 [main] INFO: PluginSystemInit:100 - 插件未启用: -1, gke, GKE插件13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, https, https13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, identificationDog,身份验证狗插件13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, LDAP_AD, LDAP_AD插件13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, luceneIndex, lucene全文检索插件13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, mobileWap, 移动应用插件13:19:24 [main] INFO: PluginSystemInit:100 - 插件未启用: 101, nc, NC插件13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, officeOcx, office插件13:19:24 [main] INFO: PluginSystemInit:156 - 发现插件: -1, sms, 短信插件13:19:24 [main] INFO: PluginSystemInit:164 - 扫描A8插件定义文件完毕. 耗时:1141 MS13:19:31 [main] INFO: DocHierarchyManagerImpl:169 - DocHierarchyManagerImpl 加载归档设置文件pigeonhole.xml 成功。

NC6产品安装操作手册

NC6产品安装操作手册

一、NC6产品安装操作手册1、在E盘中,打开yonyou_nc文件夹。

2、找到setup,双击打开,然后选择“全部提取”。

3、提取保存到C盘,然后点击“提取”,等待提取。

4、在C盘找到提取的文件。

5、打开yonyou_nc文件夹,找到setup,双击打开。

6、弹出NC安装向导,选择“简体中文”点确认,选择“同意”,点击下一步。

7、选择安装产品,勾选。

最后选择创建目录,点击确定。

8、安装产品,等待进度条。

9、安装完成,取消勾选,点击完成。

10、在C盘yonyou文件夹里,打开home文件夹,再打开bin 文件夹,找到sysConfig文件,双击打开。

11、在弹出的配置工具中,找到“服务器信息”,点击右侧的“读取”。

12、修改端口数据,并保存。

13、在数据源中点击“读取”,然后点击“添加”,在数据库类型中选择“ORACLE11G”,在“数据源名称”中输入NC63,在“数据库/ODBC”中输入orcl,在“数据库标识”中输入A1,“用户名”和“密码”都是NC63,输入正确完全后,点击“确定”。

14、点击“测试”,显示成功后,在点“确定”。

15、选择“部署”,点击“部署EJB”,任务栏显示执行任务中。

16、部署成功,点击“确定”。

17、用文件ncsec替换lib下同名文件;要删除原有license(bin目录下);要在授权那里,生成授权。

18、在C盘yonyou文件夹里,打开home文件夹,找到startup 文件,双击打开。

19、打开startup文件后,加载进度,直到出现红框显示相关时间后,表示启动完成。

20、打开浏览器,输入网址:http://127.0.0.1:22(端口号),网页弹出提示下载一个插件,点击安装。

21、在控制面板中找打插件,双击打开,把安全等级降到最低,在确定。

22、登入NC页面,用户名为:root,然后直接登入。

23、根据示例图填写相关信息和密码。

最好保存。

24、建库向导的产品选择,全部勾选,点击下一步。

用友NC安装教程(官方实用完整版)

用友NC安装教程(官方实用完整版)

用友NC安装教程目录1、安装数据库 (2)1.1.安装ORACLE (2)1.2.建立数据表空间及用户名 (5)2、安装代码 (7)3、Ncsysconfig配置(用友中间件) (10)3.1.启动配置程序 (10)3.2.建立数据源 (10)3.3.设置服务器端口 (12)3.4.生成EJB (13)3.5.设置邮件服务器 (14)3.6.设置webservers (14)3.7.设置客户端自动注销时间 (15)4、建立账套 (16)4.1.启动中间件 (16)4.2.新建账套 (16)5、报表系统 (19)5.1.新增ORACLE用户 (19)5.2.建立数据源 (20)5.3.账套初始化 (20)6、导出数据 (21)7、导入数据 (22)8、删除ORACLE用户 (22)1、安装数据库1.1.安装ORACLE1.2.建立数据表空间及用户名将如下脚本数据导入oracle中----------------------------------------------------------------------------------------------------CREATE TABLESPACE NNC_DA TA01 DATAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_data01.dbf' SIZE 100M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_DA TA02 DATAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_data02.dbf' SIZE 50M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_DA TA03 DATAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_data03.dbf' SIZE 100M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 512K ;CREATE TABLESPACE NNC_INDEX01 DA TAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_index01.dbf' SIZE 100M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE TABLESPACE NNC_INDEX02 DA TAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_index02.dbf' SIZE 50M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE TABLESPACE NNC_INDEX03 DATAFILE 'C:\oracle\product\10.2.0\oradata\orcl\nnc_index03.dbf' SIZE 100M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE USER zy IDENTIFIED BY zy DEFAULT TABLESPACE NNC_DATA01 TEMPORARY TABLESPACE temp;GRANT connect,dba to zy;导入方法如下:打开SQL Plus工具。

NC服务器安装傻瓜教程_Oracle

NC服务器安装傻瓜教程_Oracle

NC安装傻瓜教程目录安装数据库 (3)安装ORACLE (3)建立数据表空间及用户名 (6)安装代码 (9)挂接数据库 (13)建立账套 (18)安装数据库安装ORACLE注意记住数据库名称和设置的口令建立数据表空间及用户名将如下脚本数据导入oracle 中CREATE TABLESPACE NNC_DA TA01 DA TAFILE 'nnc_data01.dbf' SIZE100M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_DA TA02 DA TAFILE 'D:\oracle\product\10.2.0\oradata\orcl\nnc_data02.dbf' SIZE 50M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE TABLESPACE NNC_DATA03 DATAFILE 'd:\oracle\product\10.2.0\oradata\orcl\nnc_data03.dbf' SIZE 100M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 512K ;CREATE TABLESPACE NNC_INDEX01 DATAFILE 'd:\oracle\product\10.2.0\oradata\orcl\nnc_index01.dbf' SIZE 100M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE TABLESPACE NNC_INDEX02 DATAFILE 'd:\oracle\product\10.2.0\oradata\orcl\nnc_index02.dbf' SIZE 50M AUTOEXTEND ON NEXT 50M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 128K ;CREATE TABLESPACE NNC_INDEX03 DATAFILE 'd:\oracle\product\10.2.0\oradata\orcl\nnc_index03.dbf' SIZE 100M AUTOEXTEND ON NEXT 100M EXTENT MANAGEMENT LOCAL UNIFORM SIZE 256K ;CREATE USER NNC_DA TA01 TEMPORARY TABLESPACE temp;GRANT connect,dba to NC50; 导入方法如下:将脚本粘贴到SQL*PLUS对话框中测试时出现以下错误:ORA-01045: user 用户名lacks CREA TE SESSION privilege; logon denied解决方法:这是新建用户的时候,没有赋予足够的权限.授予角色权限connect、resource即可; grant connect,resource to 用户名;或者:grant create session,resource to 用户名;角色权限:connect:用于与Oracle服务建立链接的权限resource :用于对数据表进行CRUD 操作。

NC即时聊天工具安装配置手册

NC即时聊天工具安装配置手册

NC即时聊天工具安装配置手册NC-IM安装配置手册一、系统环境要求1.1服务器端推荐配置1.2客户端最低配置1.3客户端推荐配置1.4操作系统、数据库环境要求注:以下未特殊注明情况下,操作系统和数据库都为32位,暂时不支持64位。

操作系统只支持简体中文。

1.5网络要求局域网内使用IM系统,请保证网络畅通,客户机与服务器的通讯正常。

使用Ping命令从客户机向服务器发送请求,正常反馈为:Reply from 10.1.43.36: bytes=32 time<1ms TTL=128,如果响应时间超过1ms(time>1ms)请调整网络设置,确保通讯。

广域网使用IM系统,支持客户端到服务器端直接连接,不支持使用代理连接等复杂的网络环境。

二、安装及环境注意事项2.1客户端1、安装IM客户端所需硬盘空间至少20M。

2、安装IM客户端之前,请确保安装了以下组件:A、Microsoft .Net Framework 2.0B、Flash Player 9或以上的IE浏览器插件C、Windows Installer 3.0或以上3、如客户端安装在Windows vista和windows 7操作系统下,需要修改C:\WINDOWS\system32\drivers\etc下的hosts文件,在文件最后添加IM服务器的“【IP地址】【机器名】”,例如,IM服务器的机器名为UFIDATest,IP地址为20.1.41.81,那么就需要在hosts文件最后添加一行:20.1.41.81 UFIDATest 2.2服务器2.2.1安装前注意事项1、在安装IM服务器之前,我们推荐您确保当前计算机操作系统是“干净”的,即计算机在安装过操作系统和更新过必要的系统补丁后没有安装过其它软件。

2、如之前部署过IM服务器,卸载了重新安装,那需要清理环境,删除以下三个文件:删除“\IMServer”文件夹;删除“\Program Files\Apache Software Foundation\T omcat5.5\webapps\IMForNC”;删除数据库im10_main(drop database im10_main);3、如果需要在当前计算机安装数据库组件,建议您确保存储数据文件的逻辑磁盘有1G 以上的空余空间,分区的文件系统格式应为NTFS。

NC安装及初始化

NC安装及初始化

3.建立数据库
建立数据库命令
@ d:/1.sql; 运行 commit; 运行 exit; 结束
NC系统安装步骤
安装oracle数据库 安装NC系统 建立数据库
部署与配置
建账及恢复数据
4.部署与配置-部署
每次使用系统之前,要先做两个工作:
第一, 第一,检查数据库服务是 否启动
方法:启动开始-控制面板-管理工 具-服务,观察 Oracle Service ORCL; Oracle OraDb10g_home1 TNSListener; Oracle DB Consoleord; OracleOraDb10g_home1iSQL*Pl us 四项状态是否为“已启动”
4.部署与配置-配置3
配置方法是:双击C:\ufsoft\nchome\bin中ncSysConfig.bat文件。 配置方法是:双击C:\ufsoft\nchome\bin中ncSysConfig.bat文件。 主要关注三个选项,Data Source、Server和Deployment。 主要关注三个选项,Data Source、Server和Deployment。
NC系统安装步骤
安装oracle数据库 安装NC系统
建立数据库
部署与配置 建账及恢复数据
3.建立数据库
关于“系统及数据库程序”文件夹中“建立ORACLE数据库.sql”文本文件 关于“系统及数据库程序”文件夹中“建立ORACLE数据库.sql”文本文件
建立表文件命令
建立用户名及密码
授权命令
3.建立数据库
4.部署与配置-配置2
配置方法是:双击C:\ufsoft\nchome\bin中ncSysConfig.bat文件。 配置方法是:双击C:\ufsoft\nchome\bin中ncSysConfig.bat文件。 主要关注三个选项,Data Source、Server和Deployment。 主要关注三个选项,Data Source、Server和Deployment。

NC-Verilog仿真详解

NC-Verilog仿真详解

ncverilog仿真详解发表在ASIC/FPGA/汇编, 学习笔记, 编程开发 | 由阿布 | 十一月26, 2011 | 0标签: ncverilog, 仿真数位IC工具简介——Simulator 工具ModelSimModelSim是Mentor公司所推出的软体, 主要用来当作VHDL的模拟器, 也是目前CIC在VHDL方面的主要的模拟软体;但ModelSim不仅支援VHDL的模拟,同样也可用来当Verilog的模拟器, 更进一步的, ModelSim也支援VHD&Verilog的混合模拟, 这对於单晶片系统(SoC)的发展上, 矽智产(IP)是来源来自不同的地方, 有些矽智产是采用VHDL描述, 有些是Verilog描述, 因此这是不可或缺的功能. 所以CIC引进ModelSim这一套软体.NCSimNC-SIM 为Cadence 公司之VHDL与Verilog混合模拟的模拟器(simulator),可以帮助IC 设计者验证及模拟其所用VHDL与Verilog混合计设的IC功能.NCVerilogNC-Verilog 为Cadence 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC 设计者验证及模拟所设计IC 的功能.使用NC-Verilog软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.VCSVCS 为Synopsys 公司之Verilog 硬体描述语言模拟器(simulator),可以帮助IC设计者验证及模拟所设计IC 的功能.使用VCS 软体,使用者必须使用Verilog 硬体描述语言的语法来描述所要设计的电路.ncverilog使用ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single stepncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式三命令模式:ncvlog -f run.fncelab tb -access wrcncsim tb -gui第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错误注意:ncvlog执行以后将产生一个名为INCA_libs的目录和一个名为worklib的目录第二个命令中,access选项是确定读取文件的权限。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Ncverilog 安装指南
一。

eda安装环境的搭建(建议)
1 在主文件夹下建立soft文件夹,里面建立edasoft文件夹,在edasoft下建立source.source文件用来保存软件的配置。

2打开source.source文件,输入下面内容:
关闭保存。

3在终端执行:
打开用户bash的配置文件,在文件最后新起一行,输入:
保存关闭。

二。

安装IUS(或者IFV)(内含ncverilog)工具
需要的工具:
Float_Cadence_LicGen.tar IUS09.20.007L1P1.tar.gz
如下图:
安装环境:ubuntu11.10,已经安装了可能需要的一些包(在旋风处可以获取)。

1.分别解压两个包,进入IUS09.20.007L1P1,在此目录下打开终端,运行:
然后再:
就会如下图所示:
点击enter键直到出现:
在后面输入你要安装的目录,如:~/soft/edasoft/IUS
回车继续:
下来惠询问安装路径:
然后会跳出图形界面安装InstallScape:
点击next直到下图,选上所有安装项(除去含64bit字样的,那个是64bit的):
下一步安装:
安装完会提示是否配置,选yes:
选上所有进行配置。

在询问是否配置lisence时选否:
之后完毕,close。

2、现在配置lisence。

进入刚解压出来的Float_Cadence_LicGen文件夹:
修改all.dat的内容,只修改第二行,注意和你的IUS安装目录对应:
回到Float_Cadence_LicGen文件夹,在该文件夹下打开终端,输入如下指令:
如下:
执行后会产生key.cadence文件。

重命名为cadencelisence.dat,放到你的安装目录下:
编辑source.source文件,加入如下内容:
注意上面有两个license,使用了冒号进行分隔。

如果你只有一个lisence,写一个就好。

创建缓存空间,在终端执行:
保存关闭所有终端。

重新打开终端(每次开机只需要一次),输入:
稍等片刻后,再输入:
如果出来gui界面,如下图,就表示ok啦。

相关文档
最新文档