EDA实验

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一应用QuartusII 完成完成LED 的驱动的驱动

一、实验目的

通过此实验让学生逐步了解、熟悉和掌握FPGA 开发软件QuartusII 的使用方法及Verilog HDL 的编程方法。

2、实验内容

实验平台(EP2C5 核心板)上有8个发光二极管,其中一个发光二极管的硬件原理图如图1.1 所示,其他的发光二极管原理图与此类似。

本实验的内容是点亮EDA-MK-01模块上的4个发光二极管。

3、实验原理

FPGA 器件同单片机一样,为用户提供了许多灵活独立的输入/输出I/O 口。FPGA 每个I/O 口可以配置为输入、输出、双向I/O、集电极开路和三态门等各种组态。作为输出口时,FPGA 的I/O 口可以吸入最大为24mA 的电流,可以直接驱动发光二极管LED 等器件。所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”,就可以实现点亮该发光二极管的功能。

4、实验步骤

1)使用QuartusII建立工程

每个开发过程开始时都应建立一个QuartusII 工程,QuartusII 是以工程的方式对设计过程进行管理,QuartusII工程中存放创建FPGA配置文件需要的所有设置和设计文件。

(1)打开QuartusII软件并建立工程

选择开始>>程序>>Altera>>QuartusII 7.2 >> QuartusII 7.2 打开QuartusII 7.2 软件,软件界面如图1.2 所示。

在图1.2 中选择File>>New Project Wizard 来新建一项工程,注意不要把New 误认为New Project

Wizard…。新建工程向导对话框如图1.3所示。

在如图 1.3 所示的新建工程向导说明对话框中可以了解在新建工程的过程中我们要完成哪些工作,这

些工作包括:

a) 指定项目目录、名称和顶层实体。

b) 指定项目设计文件。

c) 指定该设计的Altera器件系列。

d) 指定该项目的其它EDA工具。

e) 项目信息报告。

在图1.3 中单击Next进入图1.4所示的新建工程路径、名称、顶层实体指定对话框。

任何一项设计都是一项工程(project),必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被QuartusII默认为工作库(Work Library)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。

不要将文件夹设在计算机已有的安装目录中,更不要将工程文件直接放在安装目录中。文件夹所在路径名和文件夹中不能用中文,不能用空格,不能用括号,可以用下划线,最好也不要以数字开头。

图 1.4 第一栏用于指定工程所在的工作库文件夹;第二栏用于指定工程名,工程名可以取任何名字,也可以直接用顶层文件的实体名作为工程名(建议使用);第三栏用于指定顶层文件的实体名。注意:工程名与顶层文件的实体名应同名。

接着单击Next进入图 1.5所示的添加文件对话框。

由于是新建工程,暂无输入文件,所以直接单击Next,进入图1.6 所示的指定目标器件对话框。这里我们选择的是EP2C5-2C8 核心板上CycloneII系列EP2C5Q208C8。

在图1.6 右边的过滤器栏(Filters)中,设计者可以通过指定封装、引脚数以及器件速度等级来加快器件查找的速度。

指定完器件后,单击Next进入图1.7所示的指定EDA 工具对话框。

本实验利用QuartusII 的集成环境进行开发,不使用任何EDA 工具,因此这里不作任何改动。图1.7中单击Next进入图1.8 所示的工程信息报告对话框。从工程信息报告对话框,设计者可以看到工程文件配置信息报告。单击Finish,完成新建工程的建立。

需要注意的是,建立工程后,还可以根据设计中的实际情况对工程进行重新设置,可选择Assignments>>Setting 进行设置,也可以选择工具栏上的按钮。

(2)建立源程序文件

如图1.9 所示,选择File>>New打开新建文件对话框如图1.10 所示。

图1.10 新建Verilog HDL File

在如图1.10 所示的新建文件对话框中选择Verilog HDL File,按OK建立一个空的文本文件,后缀名为.v。如图1.11所示,选择File>>Save As打开将Verilog文件存盘的对话框,如图1.12 所示。

图1.11 Verilog HDL File编辑框

图1.12 保存Verilog文件

(3) 编译文件

点击Processing/Start Compilation对源文件进行编译,如果通过将会出现类似图1.13所示的信息。

图1.13 编译信息

(4)分配FPGA 引脚

要执行FPGA 引脚的分配,可按下面步骤进行:

a. 选择Assignments>>Assignment Editor打开引脚分配对话框如图1.14所示。

b. 在CateGory下拉菜单中选择Pin。

c. 在To 栏中,输入各引脚名称,在Location 下拉选择相应的引脚,也可以在Location 下输入引脚号(如Pin_32)来快速定位。

d. 选择File>>Save来保存分配,然后关闭Assignment Editor。

图1.14 引脚分配

(5)器件和引脚的其他位置

单击Assignments/Device,在出现的对话框中点击Device and Pin Options。在Device and Pin Options对话框中选择Configuration 标签页按图 1.15 所示设置,即采用串行配置器件EPCS1 的主动配置方式。在Device&Pin Options 对话框中选择Unused Pins标签页进行没有使用引脚的设置,按照图1.16所示将未使用引脚设置为高阻输入,这样上电后FPGA 的所有不使用引脚后将进入高阻抗状态。

图1.15 Configuration 配置

图1.16未用引脚配置

2)下载硬件设计到目标FPGA

成功编译硬件系统后,将产生logic.sof 的FPGA 配置文件输出。本步骤简单介绍将SOF 文件下载到目标FPGA 器件的步骤。

A、通过并口BlasterII下载电缆连接实验平台JTAG口和主计算机,接通实验平台电源。

B、在QuartusⅡ软件中选择Tools>>Programmer。打开编程器窗口并自动打开配置文

件(logic.sof),如图1.17所示。如果没有自动打开配置文件,则需要自己添加需

要编程的配置文件。

C、确保编程器窗口左上角的Hardware Steup栏中硬件已经安装。

D、确保Program/Configure中的方框选中。

E、单击START开始使用配置文件对FPGA进行配置,Progress栏显示配置进度。

5)观察LED 的状态

观察实验平台上的发光二极管H1。看是否与设计相符。

相关文档
最新文档