六十进制计数器的仿真与设计
六十进制计数器的仿真与设计
图 15 60 进制计数器电路 PCB 图
通过上面的电路板 PCB 图,此电路板有两个数码显示管、两个芯片和插口以及 连线组成,所以计数器的数字将会由数码显示管显示出来。 5.设计体会:
自己对 Multisim 7.0 有了进一步的了解,接触了以前自己没有接触过元件和这些 元件所在库,这个软件可以进行电路的连接以及仿真,对于 Multisim 7.0 这些虚拟元 件和现实元件有了了解,对于如何改变元件参数也有了一定的掌握。当然,自己在以 后的学习中会更加努力学习。争取多多掌握一些自己专业相关的知识,丰富自己的知 识面。
图 4 非门 74LS04D 逻辑框图
原理说明:
非门逻辑关系:Y=(A)’
图 5 用于计数的发光二极管 3word 格式支持编辑,如有帮助欢迎下载支持。
工作状态 置零 预置数 保持
保持 计数
文档从互联网中收集,已重新修正排版,word 格式支持编辑,如有帮助欢迎下载支持。
图 6 提供高电平的电压源
2.4 六十进制计数器仿真原理图 六十进制计数器仿真原理图如图 9 所示。
图 10 60 进制计数器仿真原理图
六十进制计数器主要测试点:
观察数码显示器,计数状态从 0~59,再从 0 开始计数,并有译码显示并产生进
位输出。
2.5 测试方案
2.5.1 所需元件及其用途
采用 76LS16ຫໍສະໝຸດ 同步十进制计数器两片级联的形式构成一百进制计数器,再用置数
图 9 protel DXP 软件的原理框图
通过 protel DXP 绘制的 60 进制计数器原理图由两个数码显示管、两个芯片以及插线
组成,将会实现 60 进制计数器的显示完成。所完成的数字将会在数码显示管上面显
原理图六十进制计数器设计
实验名称:基于FPGA的原理图六十进制计数器设计
1.实验目的:
熟悉使用Quartus II的原理图输入方法设计简单组合电路。
把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。
2实验内容:
完成六十进制加法计数器的设计,包括原理图输入,编译,综合,适配,仿真,实验板上的硬件测试。
选择模式5,数码管8和7显示数字进制,指示灯8接进位。
3. 实验方案(程序设计说明)
频率计的核心元件之一是含有时钟使能及进位扩展输出的十进制计数器。
在原理图的绘制过程中应特别注意图形设计规则中信号标号和总线的表达方式。
为了测试六十进制计数器的功能,可以将counter60设置成工程,工程名和顶层文件名都取为counter60。
4. 实验步骤或程序(经调试后正确的源程序)
见附件A
5.程序运行结果
6.出现的问题及解决方法
无
附件A
实验步骤或程序:
实验原理图:
管脚设置:。
60进制计数器课程设计报告
电子技术基础实验课程设计60进制计数器一、实验目的(一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。
(二)熟悉555集成定数器芯片的引脚图。
(三)利用74LS161和555定时器构成60进制计数器。
(四)在Multisim软件中仿真60进制计数器。
二、实验容(一)集成计数器74LS161逻辑功能验证。
(二)用555定时器构成多谐振荡器。
(三)用两片74LS161和555定时器构成60进制计数器。
三、集成计数器介绍(一)集成计数器74LS161管脚介绍74LS161是4位二进制同步加法计时器。
图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。
CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。
A、B、C、D是数据输入端;QA、QB、QC、QD是数据输出端。
图1 74LS161管脚排列图(二)集成计数器74LS161功能介绍由表1可知,74LS161具有以下功能:1.异步清零。
当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。
2.同步预置数。
当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。
3.保持(禁止)。
CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。
4.计数。
CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。
表1 74LS161功能表四、用555定时器构成多谐振荡器(一)多谐振荡器的构成由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(THR脚)和低电平触发端(TRI脚)并接后接到R2和C的连接处,将放电端(DIS脚)接到R1,R2的连接处。
(二)工作原理由于接通电源瞬间,电容C来不及充电,电容器两端电压为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出为高电平,放电管V1截止。
数电-课程设计-60进制计数器
表1 十进制计数器功能表CP RD` LD` EP ET 工作状态×0 ××置零↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持↑ 1 1 1 1 计数连接方式如图:图2 十进制计数器(个位)2、十进制计数器(十位)电路图3 十进制计数器(十位)3、时钟脉冲电路图4 时钟脉冲电路4、置数电路图5 置数电路5、进位电路图6 进位电路6、译码显示电路图7 译码显示电路三、绘制原理图1、完整原理图图7 计数器原理图2、选定仪器列表仪器名称型号数量用途同步十进制计数器74LS160 2片极联构成60进制计数器与门与非门非门74LS21D74LS00D74LS04D各1个辅助设计构成其他计数器共阴极显示器DCD-HEX 2只显示数字计数电压源1个提供脉冲电压表二原理图仪器列表四、测试方案测试步骤:1)进入Multisim7界面图8 软件页面2)右击空白处,选择放置元件,进入元器件选择区,选择要放置的元件,然后单击好。
图9 放置元件3)放置好各种器件之后,即可进行线路连接,同时标明所需参数值。
设置元器件的参数时,用鼠标双击,弹出属性对话框,分别给元件赋值,并设置名称标号。
图10 元器件属性图4)确认电路无误后,即可单击仿真按钮,实现对电路的仿真工作。
5)观察结果看是否与理论分析的预测结果相同。
五、测试验证结果与分析1、验证结果以下两个仿真结果分别是计数器计数的仿真起点00和仿真终点59,之后计数器会自动恢复原来的00起点继续进行循环计数,并且进位输出灯会在59时发光。
图11 60进制计数器起点00 图12 60进制计数器终点592、理论分析本计数器由两个10进制计数器构成60进制计数器的接线图,右边的10进制计数器作为个位,左边的10进制计数器作为十位。
输入端全部接地,计数开始循环一周后通过置位法自动进行归00,之后再继续循环计数。
60进制计数器verilog原理
60进制计数器verilog原理Verilog原理:实现60进制计数器Verilog是一种硬件描述语言,用于设计和开发数字电路。
在本文中,我们将使用Verilog来实现一个能够进行60进制计数的计数器。
首先,我们需要定义计数器的功能和输入输出。
对于一个60进制计数器,我们将使用一个8位的二进制数表示计数器的当前值。
计数器将具有一个时钟脉冲输入(clk)和一个复位输入(reset)。
接下来,我们将定义计数器的行为。
当时钟脉冲输入(clk)变为高电平时,计数器的值将递增1。
当计数器的值达到60时,它将被重置为0。
下面是使用Verilog实现60进制计数器的代码示例:```verilogmodule counter_60(input wire clk,input wire reset,output wire [7:0] count);reg [7:0] count;always @(posedge clk) beginif(reset)count <= 8'b0;else if(count == 8'b111011) // 当计数器的值达到60时,重置为0count <= 8'b0;elsecount <= count + 1;endendmodule```以上代码中,我们使用`reg`关键字声明了一个8位的寄存器`count`,用于存储计数器的当前值。
`always @(posedge clk)`表示在时钟上升沿触发时执行以下代码块。
在代码块中,我们首先检查复位信号(reset)是否为高电平。
如果是,计数器的值将被重置为0。
接下来,我们使用一个条件语句判断计数器的值是否为59(8'b111011)。
如果是,计数器的值将重置为0。
否则,计数器的值递增1。
最后,我们将计数器的值作为输出wire `count`进行输出。
通过将以上代码编译为Verilog硬件描述语言的通用代码格式,我们可以在FPGA或ASIC芯片上实现一个用于60进制计数的计数器。
60进制计数器的设计
本科学生设计性实验报告项目组长_学号_成员专业_通信工程__班级_实验项目名称_ 60进制计数器的设计指导教师及职称_________开课学期 2011 至_2012 学年_第二_学期上课时间 2012 年 4 月 16 日一、实验设计方案实验名称:60进制计数器的设计实验时间:2012-4-16小组合作:是○否●小组成员:1、实验目的:熟悉QuartusⅡ的Verilog文本设计流程全过程,学习计数器的设计、仿真和硬件测试。
掌握原理图与文本混合设计方法。
实验要求:1.使用三种以上方法进行设计和仿真2.每种方法要有详细的设计程序和仿真结果3.对比每种方法的实现的RTL图4.选择一种在硬件上实现,并绘出详细实验步骤和实验步骤截图,最后描述实验结果2、实验场地及仪器、设备和材料:实验场地:电脑,quartus II软件,GW48EDA/SPOC主系统实验箱3、实验思路(实验内容、数据处理方法及实验步骤等):实验内容:用Verilog设计一个60进制计数器,要求用三种方式。
1. 打开QuartusII软件,建立一个新的工程:1) 单击菜单File\New Project Wizard…2) 输入工程的路径、工程名以及顶层实体名。
3) 单击Next>按钮,由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。
4) 设置我们的器件信息:2.建立Verilog文件:1) 单击File\New菜单项,选择弹出窗口中的Verilog File项,单击OK按钮以建立打开空的Verilog文件。
2) 在编辑窗口中输入Verilog源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。
3) 编译工程单击Processing\Start Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。
根据书上的例子做出了如下的三种方法:(1)方式一:(2)方式二:(3)方式三指导老师对实验设计方案的意见:指导老师签名:年月日二、实验结果与分析1、实验目的、场地及仪器、设备和材料、实验思路等见实验设计方案2、实验现象、数据及结果实验现象:(1)方式一:生成如下图电路模型:得到下图为波形图:(2)方式二:生成电路模型如图所示:得到的波形图如图所示:(3)方式三:得到电路模型如图所示:得到波形图如图所示:3、对实验现象、数据及观察结果的分析与讨论:通过实验过程,可以得到实验的相关图像,可能由于实验过程差不多所以得到的结果是差不多的。
电子技术基础实验课程设计-用74LS161设计六十进制计数器
电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
六十进制计数器
实验五考核实验——六十进制计数
一、实验目的
1、了解可编程数字系统设计的流程
2、掌握Quartus II 软件的使用方法
3、掌握Quartus II 软件的使用方法
4、掌握Quartus II 软件的使用方法
二、实验设备
1、计算机:Quartus II 软件
2、掌握Quartus II 软件的使用方法
3、集成电路:74LS161,任意与非门等。
三、实验原理
1、74LS161:异步清零、同步置数四位二进制计数器
2、引脚定义
3、74LS161功能表
四、实验内容
1、实现60进制计数,计数器用74LS161(2片),其它器件任选
2、七段码显示00、01、02、03 、…、57、58、59
要求:
(1)用原理图输入方式完成
(2)给出仿真波形
(3)计数脉冲CLK接BUTTON0,计数结果接7段码HEX1和HEX0显示
五、实验结果
1、实验原理图:
2、实验波形仿真图
3、引脚分配图
六、实验心得
1、同步异步计数器区分:同步计数器的触发信号是同一个信号。
具体来说,每一级的触发器接的都是同一个CLK信号。
异步计数器的触发信号时不同的,例如第一集的输出Q'作为第二级的触发信号。
几进制的区分:看数据输出端得接线方法,当接线满足拿个计数时会导致“清零”端或者是“置数端”满足工作状态。
2、异步计数器中第二级如果采用置数法,就需要置数的时候给该级提供相应的时钟信号,否则不能完成置数。
六十进制计数器设计(EDA)
六进制vhdl语言设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt6 ISPORT(CLK,CLRN,ENA,LDN:IN STD_LOGIC;D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END cnt6;ARCHITECTURE ONE OF cnt6 ISSIGNAL CI:STD_LOGIC_VECTOR (3 DOWNTO 0):="0000"; BEGINPROCESS (CLK,CLRN,ENA,LDN,CI)BEGINIF CLRN='0' THEN CI<="0000";ELSIF CLK'EVENT AND CLK='1' THENIF LDN='0' THEN CI<=D;ELSIF ENA='1' THENIF CI<5 THEN CI<=CI+1;ELSE CI<="0000";END IF;END IF;END IF;Q<=CI;END PROCESS;COUT<=CI(0) AND CI(2);END ONE;十进制vhdl语言设计:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt10 ISPORT(CLK,CLRN,ENA,LDN:IN STD_LOGIC;D:IN STD_LOGIC_VECTOR(3 DOWNTO 0);Q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END cnt10;ARCHITECTURE ONE OF cnt10 ISSIGNAL CI:STD_LOGIC_VECTOR (3 DOWNTO 0):="0000"; BEGINPROCESS (CLK,CLRN,ENA,LDN,CI)BEGINIF CLRN='0' THEN CI<="0000";ELSIF CLK'EVENT AND CLK='1' THENIF LDN='0' THEN CI<=D;ELSIF ENA='1' THENIF CI<9 THEN CI<=CI+1;ELSE CI<="0000";END IF;END IF;END IF;Q<=CI;END PROCESS;COUT<=CI(0) AND CI(3);END ONE;分别把上面程序生成符号文件画如下原理图:波形仿真图如下:。
数 字 电 子 技 术(六十进制计数器制作)
数字电子技术仿真实验报告学院:计算机科学学院班级:信科10级01班学号: ***********姓名:第一章设计方案论证1.1、选择论证的基本过程1)每隔1s,计数器增1;能以数字形式显示时间。
2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计时。
整个计数过程中,LED1即发光灯会显示进位信号。
3)本设计主要设备是两个74LS160同步十进制计数器,并且由300HZ,5V 电源供给。
1.2、设计方案框图使用300HZ555定时器作为计数器的输入信号。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
此电路可以作为简易数字时钟的分钟显示。
图1.2为60进制计数器的总体框图。
图1.2 系统总体框图第二章单元电路设计2.1、十进制计数器(个位)电路本电路采用74LS160作为十进制计数器,它是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数器。
功能如表2-1表2-1 十进制计数器功能表CP RD` LD` EP ET 工作状态×0 ××置零↑ 1 0 ××预置数× 1 1 0 1 保持× 1 1 ×0 保持↑ 1 1 1 1 计数连接方式如图2.1图2.1 十进制计数器(个位)2.2、十进制计数器(十位)电路图2.2 十进制计数器(十位)2.3、555定时器555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。
因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。
图2.3为用555定时器设计的多谐振荡器的电路图及其电路产生的波形。
图2.3 多谐振荡器由多谐振荡器原理,结合上图可知其振荡周期T=T 1+T 2。
T 1为电容充电时间,T 2为电容放电时间。
基于Quartus六十进制计数器的设计讲解
EDA技术实践课程设计2014年7月25日EDA技术实践课程设计任务书课程EDA技术实践课程设计题目六十进制计数器专业姓名学号主要内容:利用QuartusII设计一个六十进制计数器。
该电路是采用整体置数法接成的六十进制计数器。
首先需要两片74160接成一百进制的计数器,然后将电路的59状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,将0000同时置入两片74160中,从而得到六十进制计数器。
主要要求如下:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
主要参考资料:[1] 朱正伟.EDA技术及应用[M].第2版.北京:清华大学出版社,2013.[2] 李国洪.EDA技术与实验[M].北京:机械工业出版社,2009.[3] 陈忠平,高金定,高见芳.基于QuartusII的FPGA/CPLD设计与实践[M].北京:电子工业出版社,2010.[4] 杨颂华.数字电子技术基础[M].第2版.西安:西安电子科技大学出版社,2009.[5] 阎石.数字电子技术基础[M].第5版.北京:高等教育出版社,2006.[6] 康华光.电子技术基础:数字部分[M].北京:高等教育出版社,2000.完成期限2014.7.21——2014.7.25指导教师专业负责人2014年7 月18日目录1 设计 (1)2 方案选择与电路原理图的设计 (1)2.1 单元电路一:十进制计数器电路(个位) (2)2.2 单元电路二:十进制计数器(十位) (3)2.3 单元电路三:置数与进位电路 (3)3 元件选取与电路图的绘制 (4)3.1 元件选取 (4)3.2 电路图的绘制 (4)4 编译设计文件 (5)5 仿真设计文件 (6)6 总结 (10)参考文献 (11)1 设计六十进制计数器的功能要求:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
60进制计数器实验报告
电容充电时间:tp1=0.7(R1+R2)C
电容放电时间:tp2=0.7R2 C
电路振荡周期:T=tp1+tp2=0.7(R1+2R2)C
电路震荡频率:f=1/T
由此得到振荡周期为1s的脉冲信号。
产生的脉冲信号波形如下图所示:
(2)74LS161的16进制改10进制(低位)
(3)74LS161的16进制改6进制(高位)
(2)、确定使用74LS161芯片的个数。74LS161有16个状态,十进制计数器有10个状态,只用一片74LS161就可以实现模为10的计数器。实现六十进制,需要两片74LS161芯片。
(3)、确定输出状态。计数器应从0000状态开始计数,当低位第十个脉冲出现时,即1010状态出现时立即返回0000状态。高位第六个脉冲出现时,即0110状态出现时立即返回0000状态。
三、逻辑功能表
74LS161逻辑功能表
输入
输出
CR
LD
CTP
CTT
CP
D3
D2
D1
D0
Q3
Q2
Q1
Q0
0
*
*
*
*
*
*
*
*
L
L
L
L
1
0
*
*
↑
D3
D2
D1
D0
D3
D2
D1
D0
1
1
*
0
↑
*
*
*
*
保持
1
1
0
*
*
*
*
*
*
保持
1
1
1
数电课程设计(60进制计数器设计)
目录摘要: (2)1设计题目 (2)1.1设计要求 (2)2题目分析 (2)3设计思路与原理 (3)3.1 LED简介 (3)3.2 芯片74290及六十进制计数器的设计 (4)3.3 三十九进制计数器 (6)4电路图的仿真 (7)4.1六十进制计数器的仿真 (7)4.2三十九进制计数器的仿真 (8)5仪器列表 (9)6心得体会 (9)7参考文献 (10)摘要:要获得N进制计数器,常用的方法有两种:一是用时钟触发器和门电路来设计:二是用集成计数器来构成。
当要得到一些进制数大的计数器时,用时钟触发器和门电路来实现就显的很复杂。
我们就可以用集成计数器来构成,当然集成计数器是厂家已定型的产品,其函数关系已被固化在芯片中,状态分配以及编码我们自己是不可以更改的,而且多为纯自然态序编码,因而利用清零端或置数控制端,让电路跳过某些状态而获得N进制的计数器。
1设计题目60进制计数器的设计1.1设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
1.2设计任务(1)完成一个60进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
59显示后,又从00重新开始计数。
2题目分析要实现60进制的计数器,单用一片计数器无法实现,我们可以利用级联方式获得大容量的N进制计数器,60进制的计数器就可以由六进制和十进制计数器级联起来构成。
CP 3设计思路与原理 3.1 LED 简介LED 是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a 、b 、c 、d 、e 、f ,g ,构成字型“8”,如图(a )所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED 不被损坏,需外加限流电阻。
信号源 计数器数码显示器十进制计数器(个位)六进制计数器(十位)其真值表如下。
基于Quartus六十进制计数器的设计
EDA技术实践课程设计2014年7月25日EDA技术实践课程设计任务书课程EDA技术实践课程设计题目六十进制计数器专业姓名学号主要内容:利用QuartusII设计一个六十进制计数器。
该电路是采用整体置数法接成的六十进制计数器。
首先需要两片74160接成一百进制的计数器,然后将电路的59状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,将0000同时置入两片74160中,从而得到六十进制计数器。
主要要求如下:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
主要参考资料:[1] 朱正伟.EDA技术及应用[M].第2版.北京:清华大学出版社,2013.[2] 李国洪.EDA技术与实验[M].北京:机械工业出版社,2009.[3] 陈忠平,高金定,高见芳.基于QuartusII的FPGA/CPLD设计与实践[M].北京:电子工业出版社,2010.[4] 杨颂华.数字电子技术基础[M].第2版.西安:西安电子科技大学出版社,2009.[5] 阎石.数字电子技术基础[M].第5版.北京:高等教育出版社,2006.[6] 康华光.电子技术基础:数字部分[M].北京:高等教育出版社,2000.完成期限2014.7.21——2014.7.25指导教师专业负责人2014年7 月18日目录1 设计 (1)2 方案选择与电路原理图的设计 (1)2.1 单元电路一:十进制计数器电路(个位) (2)2.2 单元电路二:十进制计数器(十位) (3)2.3 单元电路三:置数与进位电路 (3)3 元件选取与电路图的绘制 (4)3.1 元件选取 (4)3.2 电路图的绘制 (4)4 编译设计文件 (5)5 仿真设计文件 (6)6 总结 (10)参考文献 (11)1 设计六十进制计数器的功能要求:(1)每隔1个周期脉冲,计数器增1;(2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数;(3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。
电子技术基础实验课程设计-用74LS161设计六十进制计数器
电子技术基础实验课程设计用74LS161设计六十进制计数器学院:班级:姓名:学号:电气工程学院电自1418用74LS161设计六十进制计数器摘要计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
如定时器,报警器、时钟电路中都有广泛用途。
在配合各种显示器件的情况下实现实时监控,扩展更多功能。
利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。
把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。
十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。
当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。
使用200HZ时钟信号作为计数器的时钟脉冲。
根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。
关键字:60进制,计数器,74LS161,级联目录第1章概述 (1)1.1 计数器设计目的 (1)1.2 计数器设计组成 (1)第2章六十进制计数器设计描述 (2)2.1 74LS161的功能 (2)2.2 方案框架 (3)第3章六十进制计数器的设计与仿真 (4)3.1 基本电路分析设计 (4)3.2 计数器电路的仿真 (6)第4章总结 (8)第1章概述计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
verilog模六十计数器设计
endmodule
子模块 1:
module frequency1000( input cp, output reg cp1
); reg [15:0]cnt=0; always@(posedge cp) begin cnt<=cnt+1'b1; if(cnt>=16'b1100001101001111)//50000-1,把 50MHz 分频为 1000Hz begin cp1<=~cp1;
性能测试: 用电子表测量系统从 00 显示到 59,再到 00 的时间,确实为 30 秒(计数信号为 2Hz), 性能上达到要求。
七、 系统设计开发步骤与过程
1、 明确设计要求与拥有的资源; 2、 设计原理图; 3、 编写代码; 4、 仿真; 5、 修改代码后,重复步骤 4、5,直到仿真结果无误为止; 6、 将代码写入开发板,进行性能与功能测试; 7、 修改代码后,重复步骤 4、5、6、7,直到性能与功能都达到要求为止; 8、 撰写系统说明书与报告。
); reg [24:0]cnt=0; always@(posedge cp) begin cnt<=cnt+1'b1; if(cnt>=25'b1011111010111100000111111)//25000000-1,把 50MHz 分
频为 2Hz begin cp0<=~cp0; cnt<={25{1'b0}}; end
endmodule
子模块 3:
module cnt6( input tc, input cr, output reg [3:0]q6=0 ); always@(posedge tc or negedge cr) begin if(~cr) q6<=4'b0000; else if(q6>=4'b0101) q6<=4'b0000;
数电仿真报告 用VHDL语言设计一个六十进制计数器
数电实验设计报告题目: 用VHDL语言设计一个六十进制计数器学院:电子与信息工程学院专业: 10级通信工程(2)班学号:xxx姓名: xx指导老师:xxx2012年6月5号一、实验目的1.通过六十进制计数器的设计与仿真,学习VHDL语言及VHDL文本输入设计方法。
2.编写六十进制计数器源程序,应用MAX+PlusII软件进行VHDL 文本输入设计与波形仿真。
二、程序流程图三、程序代码及注释library ieee; --打开ieee库use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity count60 is --定义实体port(en,clear,clk:in std_logic;qh:buffer std_logic_vector(3 downto 0);ql:buffer std_logic_vector(3 downto 0);Cout:out std_logic); --定义各个端口end count60;architecture behave of count60 isbeginCout<='1' when(qh="0101" and ql="1001" and en='1')else'0';--计数到59时产生进位信号process(clk,clear) --有clk及clear变化即触发进程beginif(clear='0')then --有清零信号即清零qh<="0000";ql<="0000";elsif(clk'event and clk='1')then --有时钟信号时开始计数if(en='1')thenif(ql=9)thenql<="0000"; --ql到9时清零if(qh=5)thenqh<="0000"; --qh到5时清零elseqh<=qh+1; --未到5时累加1 end if;elseql<=ql+1; --未到9时累加1end if;end if;end if;end process;end behave;四、设计过程步骤1:输入设计项目和存盘打开 MAX+plusII,选择菜单“File”_“New…”,出现如图1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。
60进制计数器课程设计
60进制计数器设计 (2)绪论 (3)1.1设计背景 (3)1.2设计思想 (3)2器件介绍 (4)2.1电阻 (4)2.2电容 (5)2.3 555秒发生器 (5)2.4 74ls00 (7)2.574ls90 (7)2.674ls48 (8)3软件仿真 (9)3.1 555仿真图 (9)3.2 60进制仿真图 (10)3.3 仿真图 (10)4焊接方法 (12)4.1焊接方法 (12)4.2 注意事项 (13)4.3调试 (13)4.4实际图 (14)5总结 (18)6致谢 (20)7 参考文件 (21)60进制计数器设计摘要:60进制计数器的设计是以数电和模电为基础,结合模电里面的置零方法,利用了555芯片、74ls00、74ls48、74ls90以及显示管和各种电阻电容组成的。
利用74ls90可以实现制数功能,可以单独制成十进制。
利用74ls00(与非门)与74ls90可以制成6进制,再利用74ls48和显示管就可以在基于EWB的软件平台上完成该设计。
本设计采用较为常用的74系列芯片,及555芯片实现了信号灯与信号脉冲同步实现、同步控制,进而提高了整个系统的稳定性、独立性。
在实际生活中我们用60进制的有钟表的秒分进制。
随着我国科学技术与高科技的发展,对于仪器精度的要求更加的高,为了满足中国高科技的发展需求研究高精度计数器对于我国的航天、电子等业务具有很大的作用.关键字:60进制 555芯片 74ls00 74ls48 74ls90绪论1.1设计背景计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。
计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2.1 概述 由设计任务可知,六十进制计数器由一个十进制计数器(计数状态 0~9)和一个
六进制计数器(计数状态 0~5)级联构成,在计数状态 59 的下一个状态产生清零信 号,同时产生进位输出。根据自己所学的知识,可以采用同步十进制计数器 74LS160 级联的形式来构成六十进制计数器。首先,将两片 74160 串联起来构成一个一百进制 计数器。其中,第一片记的是十位,第二片记的是个位。然后,再用置数法将得到的 百进制计数器改接为六十进制计数器。设计数器从全零开始计数,则计入 59 个脉冲 以后,第一片计成 Q3Q2Q1Q0=0101(5),第二片计成 Q3Q2Q1Q0=1001(9),与非门的 输出使两片 74160 的 LD’同时为低电平。当下一个(第六十个)计数输入脉冲到达时, 两个 74160 同时被置零,返回起始状态。这样就得到了六十进制计数器。
器
其功能表如下:
表 1 十进制计数器功能表
CP
Rd
LD
EP
X
0
X
↑
1
0
XX11来自0X1
1
X
↑
1
1
1
图 3 与非门 7420N 逻辑框图
原理说明:
与非门逻辑关系:Y=(ABCD)’
图 4 非门 74LS04D 逻辑框图
原理说明:
非门逻辑关系:Y=(A)’
图 5 用于计数的发光二极管
ET
工作状态
X
置零
X
预置数
通过查阅资料对不同的设计方案进行比较论证,由于 Multisim7 电路仿真软件采 用交互式界面,比较直观,操作简便,具有丰富的元器件库和品种繁多的虚拟仪器以 及强大的分析功能等特点,因而,采用 Multisim7 电路仿真软件进行设计电路并仿真
在 Multisim7 环境中,把两个 74160 以级联的形式用置数法构建成六十进制计数 器。并运行仿真,观测其结果。 2.2 原理论证
的 LD’同时为低电平。此时 RCO=1.当下一个(第六十个)计数输入脉冲到达时,两
个 74160 同时被置零,返回起始状态,同时 RCO 端产生进位输出。
3.2 测试步骤并用 Multisim7 进行仿真:
在 Multisim7 中对电路进行仿真的过程主要分两步,一是构建电路原理图,二是进行
文件→ 记录实验结果→分析仿真结果
2.3 单元电路设计
图 1 流程图
74LS160 逻辑框图如图 2 所示。
图 2 同步十进制计数器 74LS160
各引出端功能为:RCO 为进位输出端;QD—QA 为计数器的输入端;D C B A 为
计数器的并行输入端;CLK 为时钟脉冲输入端;ENF、ENT 为计数器的控制端,均
流程图如下: 明确设计目的 → 确定 Multisim7 仿真软件 → 设计论证
文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持.
方案 → 运用 protel DXP 软件绘制电路原理图 → 选定所需元器件 →设计单元电
路 →设计仿真原理图 →设置 Multisim7 仿真环境→ 进行仿真运行 →仿真后输出
示出来。
文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持.
2.4 六十进制计数器仿真原理图 六十进制计数器仿真原理图如图 9 所示。
图 10 60 进制计数器仿真原理图
六十进制计数器主要测试点:
观察数码显示器,计数状态从 0~59,再从 0 开始计数,并有译码显示并产生进
位输出。
2.5 测试方案
1
保持
0
保持
1
计数
图 6 提供高电平的电压源
文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持. 图 7 时钟脉冲源如所示
图 8 数码显示器
2.2 选定器件列表如表 2 所示。
表 2 选定元器件列表
元件标号 74LS160D
7420N 74LS04D
VCC X1 V1 DCD_HEX
说明 同步十进制计数器
为高电平时为计数状态,否则为保持状态;~LOAD 为同步并行置数允许端(低电平
有效);~CLR 为异步清零端(低电平有效)。
其逻辑功能如表一所示。表中×表示任意状态,0 表示低电平,1 表示高电平,
↑表示时钟脉冲的上升沿。
74LS160D 十进制计数器
74LS160 是一个具有异步清零、同步置数、可以保持状态不变的十进制上升沿计数
文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持.
六十进制计数器的仿真与设计
文档来源为:从网络收集整理.word 版本可编辑.欢迎下载支持.
1.课程设计的目的
训练学生综合运用学过的 protel DXP 软件的基础知识,在教师指导下完成查找资 料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。巩固和加 深在《EDA 技术及应用》课程中所学的理论知识和实验技能。自己对 Protel DXP 制 图软件也有进一步的了解与掌握,并且深刻体会到它在仿真中的重要用途。DXP 对电 子电路仿真的目的是电子电路原理图设计和仿真设计与 PCB 印制技术联系起来,经 过电子电路仿真后,马上可以制作 PCB 图或自动生成 PCB 图。它在前版本的基础上 增加了许多新的功能。新的可定制设计环境功能包括双显示器支持,可固定、浮动以 及弹出面板,强大的过滤和对象定位功能及增强的用户界面等。使学生初步掌握数字 电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问 题的能力和创新能力,为后续课程的学习、毕业设计和毕业后工作打下一定的基础。
2.5.1 所需元件及其用途
采用 76LS160 同步十进制计数器两片级联的形式构成一百进制计数器,再用置数
的方法将其改接为六十进制计数器。与非门与所需输出端相接并将其另一端接回到两
计数器的置数端。计数器从全零开始计数,则计入 59
个脉冲以后,第一
片计成 Q3Q2Q1Q0=0101(5)第二片计成 Q3Q2Q1Q0=100(9),与非门的输出使两片 74160
与非门 非门
电压源 发光二极管 时钟脉冲源 数码显示器
2.3 应用 protel DXP 软件的原理框图如图所示
图 9 protel DXP 软件的原理框图
通过 protel DXP 绘制的 60 进制计数器原理图由两个数码显示管、两个芯片以及插线
组成,将会实现 60 进制计数器的显示完成。所完成的数字将会在数码显示管上面显