数电大作业智能数字钟

合集下载

华科数电大作业——多功能数字钟

华科数电大作业——多功能数字钟

数字逻辑自主设计多功能数字钟报告人:实验指导教师:赵贻竹报告批阅教师:赵贻竹计算机科学与技术学院2015 年06 月26 日目录多功能数字钟 (1)1.整体功能描述 (3)2.模块功能描述及设计思路 (3)2.1“clock“功能描述及设计思路 (3)2.2“myclock”功能描述及设计思路 (3)2.3”int_div“功能描述及设计思路 (4)2.4“clkgen“功能描述和设计思路 (4)2.5“disp_dec“功能描述及设计思想 (4)3.源程序及仿真程序 (5)3.1源程序 (5)3.2仿真程序 (11)4.验证结果 (13)1.整体功能描述功能主要为计时和显示时间。

这里实现的电子表具有显示和调时功能,可以显示时、分、秒和毫秒,并通过按键进行工作模式的选择,工作模式有四种,分别是正常记时模式、调时模式、调分模式、调秒模式。

2.模块功能描述及设计思路构成电子表的基本模块有5个,分别是顶层模块clock,时钟调校及计时模块myclock,整数分频模块int_div,时钟信号选择模块clkgen,七段显示模块disp_dec。

2.1“clock“功能描述及设计思路clock 模块的目的是将功能模块连接起来,实现完整功能。

输入:iCLK_50——50Mhz时钟信号RSTn——复位信号FLAG——工作模式控制信号UP——加1调节DN——减1调节输出:H_dis——时数据的七段段码M_dis——分数据的七段段码S_dis——秒数据的七段段码MS_dis——百分秒数据的七段段码Mode——工作模式输出H——时数据M——分数据S——秒数据2.2“myclock”功能描述及设计思路Myclock实现的功能是根据当前的工作状态进行时、分、秒的调整和正常计时。

端口信号:输入:RSTn——复位信号CLK——100hz时钟信号FLAG[1:0]——工作模式信号,模式定义为:00为正常显示,01为调时,10为调分,11为调秒UP——调校模式下以加1方式调节信号DN——调校模式下以减1方式调节信号输出:H[7:0]——“时”数据(16进制)M[7:0]——“分”数据(16进制)S[7:0]——”秒“数据(16进制)MS[7:0]——”百分秒“数据(16进制)设计思路是RTSn有效时,时分秒信号清0,否则根据工作模式控制信号FLAG的值决定当前工作状态。

(完整)数电课程设计数字电子钟的设计与制作

(完整)数电课程设计数字电子钟的设计与制作

(完整)数电课程设计数字电子钟的设计与制作编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)数电课程设计数字电子钟的设计与制作)的内容能够给您的工作和学习带来便利。

同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。

本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)数电课程设计数字电子钟的设计与制作的全部内容。

一、设计目的数字电子技术是工科专业的一门专业基础课,该课程理论与实践联系密切,系统性强,课程设计是本课程教学中必不可少的环节,通过设计可以使学生初步掌握基本的数字电路设计方法和技能,进一步加深对数字电子技术课程的理解,掌握数字电子系统的组成和设计方法以及系统的调试方法,熟悉常用数字芯片的功能及使用方法,为后续课程的学习奠定坚实基础。

二、设计任务1、用给定的数字集成电路设计制作一个数字电子钟。

2、基本功能:具有时、分、秒计时功能,用六位数码管和LED显示“XX:XX:XX"(最大显示23:59:59),要求计时准确,能够调整时间。

除电源外其它部分均需自行设计制作。

3、扩展功能:有整点报时功能;时分秒之间的间隔符“:”按秒跳动。

三、设计要求基本要求:1、根据给定的器件设计电路,画出电路原理图,仿真实现所设计功能.2、制作实际电路并测试,用自己设计的秒脉冲源作计时脉冲,+5V电源由实验室提供。

要求制作工艺良好,电路能正常稳定工作。

3、写出设计总结报告,除报告封面和电路图可以打印外,其它内容均必须手写(复印、打印的一律不及格)。

扩展要求:完成扩展功能四、所需元器件及材料IC:CD4518三块、CD4040、CD4060、CD4081各一块、CD4543六块,DIP16IC插座12个;其他器件:共阴数码管(CL5011AH)6个,红色LED4个,石英晶振32768HZ一个,电阻220Ω44个,220K、10M各1个,51P瓷片电容2个,轻触开关4个,8针接插件3个,4针接插件1个,9cm*15cm万能板两块、红、黑色导线各1卷,黄、蓝色导线各2卷、焊锡2卷。

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。

2、具有手动校时、校分、校秒的功能。

3、有定时和闹钟功能,能够在设定的时间发出闹铃声。

4、能进行整点报时。

从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。

、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。

2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。

3、有三个按钮分别调时、分、秒的时间。

4、有一个按钮用作开启/关闭闹铃。

5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。

二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。

各按键输出为脉冲信号。

、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。

该模块能将当前计数值实时按BCD码的格式输出。

将该输出接到两位LED数码后能时时显示秒的状态。

通过alarm_clk可以选择设置对象为时间还是定时值。

在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课设--数字钟的设计

数电课设--数字钟的设计

数电课设--数字钟的设计摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时设计一个简单的时间调整系统来实现对数字钟的时间调整。

本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。

关键词:数字钟、计数器、时间调整系统一、引言数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变了人们观念上的关于时间知识的变革。

本课设就是要通过设计一个数字钟,来综合应用我们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。

二、数字钟的设计原理数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不断进行计数。

数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单位有小时、分钟和秒。

三、数字钟的设计方案数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时间调整系统的设计。

下面分别进行介绍。

(一)计数器及定时器的设计计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。

其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。

计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。

时间调整功能通常是通过8255接口芯片实现。

(二)时间调整系统的设计时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。

四、数字钟的实现数字钟的实现可以参考实验教材进行,实现前需要明确以下几点:1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。

2. 设计好数字钟的原理图,并选择适合的元件进行接线。

3. 进行电路调试和测试,对电路进行稳定性测试等。

数电大作业(交通指示灯+电子琴+智能钟表)

数电大作业(交通指示灯+电子琴+智能钟表)

数电大作业(交通指示灯+电子琴+智能钟表)-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII(交通指示灯是可以实现的电子琴那个是不知道是否正确自己验证吧)(最后还有两个题一个是交通指示灯的有点复杂的另一个是时钟的个人感觉也是有点复杂)一、交通灯电路设计要求:1.设计一个十字路口交通灯控制电路,要求东西方向车道和南北方向车道两条交叉掉路上的车辆交替运行,每次通行的时间设置为24秒。

2.在绿灯转为红灯时,要求黄灯先亮4秒钟,才能变换运行车道。

3.可用LED模拟交通灯。

4.用倒计时显示每个状态的时间。

思路分析:1.电路有四个状态:东西绿南北红→东西黄南北红→东西红南北绿→东西红南北黄一共有四个状态循环,所以可以先用一个模4计数器来转换这四个状态。

设计电路如图所示:这里采用74LS163构成模4计数器,状态由 00 → 01 → 10 → 11 进行循环。

L1(东西绿):当00时亮,其他状态时不亮。

L2(东西黄):当01时亮,其他状态时不亮。

L3(东西红):当10、11时亮,00、01时不亮。

L4(南北绿):当10时亮,其他状态时不亮。

L5(南北黄):当11时亮,其他状态时不亮。

L6(南北红):当00、01时亮,10、11时不亮。

电路可以这样实现:B A Y0 Y1 Y2 Y30 0 0 1 1 10 1 1 0 1 11 0 1 1 0 11 1 1 1 1 0所以,Y0~Y4口接上非门后可以控制L1、L2、L4、L5。

L3=B,L6=B,所以将L3直接连在1B端,将1A端加非门与L6相连。

通过这样的连接便可以实现这四个状态的循环。

接下来要完成电路的计数部分:由于要实现倒计时显示,所以可以采用两片74LS190,该芯片是十进制加减法计数器。

通过网络找出一下功能表。

由于要实现倒计时,所以U/D端输入高电平,此时计数器进行减计数。

用两片74LS190,通过RC端进行异步级联,由于红灯28秒,黄灯4秒,绿灯24秒,所以各状态及持续时间如下:状态1:东西绿南北红(00):24秒状态2:东西黄南北红(01):4秒状态3:东西红南北绿(10):24秒状态4:东西红南北黄(11):4秒当状态转变时,给计数器置数即可。

电工大作业-数字电子钟的设计

电工大作业-数字电子钟的设计

一、设计要求设计一个电子时钟,并使它具有自动运行的功能。

要求:1、用数码管显示:小时、分、秒。

24小时制或12小时制均可。

2、应用所学知识,产生1s的时钟信号,然后经过进位计数器,最后经过数码管示。

3、对使用何种电子元件及数量无要求。

二、设计思路电子时钟主要为秒信号发生器,计数部分和显示部分组成,用石英晶体震荡构成秒信号发生器,将信号输入计数部分,然后显示。

1、时钟信号:用555计时器和74LS161计数器实现1Hz的方波信号2、进位计数:用74LS90实现60,60,24进制,即实现时钟的计时3、显示设置:用数码管接74LS90实现时间的显示三、电子元件1、七段显示器6个2、计数器(74LS161)4个3、计数器(74LS90)6个4、与非门(74LS00)2个5、反相器(74LS04)2个5、石英晶体1个6、电阻、电容、导线等四、流程设计1、信号发生部分石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整、它是电子时钟的核心,用它产生标准频率信号,在由分频器分成秒时间脉冲。

下图为用反向器与石英晶体构成的振荡电路:(反向器与石英晶体构成的振荡电路)石英晶体振荡器选用32768Hz的石英晶体,发生的信号不符合要求,但通过分频,课产生1Hz的秒信号。

因为32768÷16÷16÷16÷8=1,所以用3个16分频和1个8分频就可以使信号达到要求。

用4个16进制计数器74LS161组成分频电路,与晶振部分共同组成信号发生部分。

如下图:(1Hz信号发生器)2、计数部分和显示部分整个计数器电路由秒计数器、分计数器、时计数器串接而成。

计数器之间采用并行接法,将进位输出信号接到置数端实现清零功能。

秒计数器和分计数器各自由一个十进制计数器和一个六进制计数器组成,形成两个六十进制计数器。

时计数器为两个十进制计数器接成的二十四计数器。

(本部分全部用74LS90计数器实现)秒计数器的设计:秒位60进制计数及显示电路四输入的数码管(显示作用)60秒后给分位的时钟信号信号发生器产生的1Hz信号分计数器的设计:分位60进制计数及显示电路四输入的数码管(显示作用)60分后给小时位的时钟信号从秒计数器来的时钟信号小时位采用24小时计时制设计过程:采用芯片为74LS90的2-10进制计数器,数量为两片。

西电电院数电大作业

西电电院数电大作业

数电大作业学院:电子工程学院选题一:交通灯一、设计要求为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。

其中红灯亮,表示该条道路禁止通行;黄灯亮表示暂时的停车,等待信号;绿灯亮表示可以通行。

1.设计一个十字路口交通信号灯控制器,其要求如下:设南北方向的红、黄、绿灯分别为r,y,g;东西方向的红、黄、绿灯分别为R,Y,G,满足图1 的工作流程并且可以并行工作:g(R)→r(G),黄灯用于提示绿灯变为红灯或者绿灯变为红灯。

2.满足两个方向的工作时序:其中令东西方向为主干道方向,绿灯亮30秒,红灯亮20秒,黄灯5秒;南北方向为支线,绿灯20秒,红灯30秒,黄灯5秒。

3.十字路口要有数字显示装置(数码管),作为时间提示,以便人们更直观地把握时间。

二、方案1、总体设计方案分析系统的逻辑功能需求后,交通灯控制系统将主要由以下几个部分构成:定时脉冲发生器、状态机、控制器、译码器、延时器、彩灯。

定时脉冲发生器发出以1S为单位的定时脉冲,状态机控制交通灯红、绿、黄状态的变化,而控制器将控制数码管的倒计时,并将对状态机进行反馈。

延时器、译码器与交通彩灯将由状态机的输出信号进行相应的信号灯变化。

总体设计框图如下:为实现倒计时功能,可由555芯片设计一个1S为周期,50%占空比的脉冲电路,以控制控制器的时钟。

3、状态机设计将图一的四个状态分别以00、01、11、10表示,则可用双D触发器构成一个简单的状态机21124、控制器设计控制器主要实现倒计时功能,但普通计数器只有正向计数功能,固在计数输经过分析上表,其中每个状态的初始值都可由状态机的输出状态进行置数。

7610321212D5=Q1与非Q2 。

5、延时、译码、及信号灯设计信号灯同样可由状态机的输出信号控制,其中用“1”表示灯亮,“0”表示由译码器的两个输出脚经过或门得到。

但是,为了实现在29、04、19秒变灯还必须在状态机与译码器之间加上一个延时器,当变灯信号到达后,延时一秒,再经由译码器控制信号灯变化,而这同样也可以由一个双D触发器实现。

数电课程设计 数字时钟

数电课程设计 数字时钟

机电工程学院本科生课程设计题目:数字时钟课程:数字电子技术:任务书目录1设计的目的及任务 (1)1.1课程设计的目的 (1)1.2课程设计的任务与要求 (1)1.3课程设计的技术指标 (1)参考文献 (25)1.设计的目的及任务1.1课程设计的目的(1)巩固所学的相关理论知识;(2)实践所掌握的电子制作技能;能力(3)带有时间校正功能;(4)“闹钟”功能;2.数字时钟的介绍和原理2.1数字时钟的介绍数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。

数字时钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专于数字钟电路的基本组成包含了数字电路的主要组成部分,为了帮助同学们将已经学过的比较零散的数字电路的知识能够有机的、系统地联系起来用于实际,培养综合分析、设计电路的能力,进行数字钟的设计是必要的。

2.2数字时钟的电路组成?数字时钟是用数字集成电路构成,用数码显示的一种现代化计数器。

本系统由振荡器、分频器、校时电路、计数器、译码显示器以及电源电路组成。

秒脉冲发生电路产生秒脉冲信号,不同进制的计数器、译码器和显示器组成计时系统,通过校时电路实现对时、分的校准,电源电路提供稳定的+5v的电压。

?2.3数字时钟的工作原理?数字时钟实际上是一个对1HZ频率进行计数的计数电路。

由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字时钟,但是出于对1Hz(6)校时电路:由于数字钟的初始时间不一定是标准时间,而且在数字钟的运行过程中可能出现误差,所以需要校时电路来对“时、分”显示数字进行校对调整。

3.数字时钟总设计方案和各部分设计方案3.1数字时钟总设计方案数字时钟有振荡器、分频器、计数器、译码显示、报时等电路组成。

数字电路大作业 时钟 秒表 闹钟

数字电路大作业 时钟 秒表 闹钟

数字电路大作业——时钟、闹钟、秒表一.设计任务及要求利用计数器、数码管、555计时器等原件作出一个数字钟表,具有时钟、闹钟、秒表功能。

二.系统逻辑框图和工作原理1.系统逻辑框图2.方波发生环节利用555定时器搭出多谐振荡器,通过改变电阻值和电容值来改变方波频率,为了提高精确度,可取发出方波频率为1kHz。

后面计数环节需要频率为1Hz的时钟信号,所以在555电路后附加一个分频电路,通过分频,调整时钟周期为1s,符合时钟要求。

分频电路由74LS90芯片组成,每个都采用10倍分频。

电路图如图1图13.时钟计数环节1)秒针部分和分针部分采用6X10进制计数器,一个74LS90芯片采用10进制,另一个采用6进制,同时把周期为1s的方波转换为周期为60s的方波作为分针部分的时钟信号,再转换为3600s的方波作为时针部分的时钟信号。

具体实现方法:六进制电路中,在输出信号为0110时,让计数器归零。

即将Q B和Q C通过与门,若同时为1,则得到1,将这个1信号传给清零端,是计数器清零。

电路图如图2、图3图2图3 2)时针部分采用24进制计数器,一个74LS90芯片采用10进制,另一个采用2进制。

且在0010和0100同时出现时,给清零端命令,让计数器归零,即在24时归零。

电路图如图4图44.校时电路刚接通电源是会出现时间误差,所以必须要有校时电路来人工的快速调整时间,根据实际电子表情况,时针、分针可以用校时电路进行校时,秒针用秒针电路的清零来实现。

当按下分针校时开关时,通过多个与非门,将分针电路的时钟信号变为校时电路的高频率时钟信号,可随时切换回正常时钟信号。

电路图如图5图55.闹钟设定电路电路方式类似于校时电路,思想都是人工设定显示时间,只不过此处的显示时间为人工设定的闹钟定时时间。

电路图如图6图66.闹钟判定电路将闹钟设定时间与现在时间进行比较,比较方法为:将对应的同一管脚通过异或门,这样如果一样则输出0,在对第一次异或的全体结果逐个通过或门,知道最后只剩两个输出信号时,将这两个信号通过或非门,如此若最后输出1则代表现在时间到达设定时间。

西电数电大作业(数字时钟电路)

西电数电大作业(数字时钟电路)

一、数字时钟电路(显示时:分:秒;CP频率f=1Hz)
该电路由6个计数器、6个带编译的译码显示管及一些门电路组成。

其中U9、U11、U25为模10计数器,从0000到1001计数,显示管也可以从0显示到9,:U10、U12为模6计数器,从0000计数到0101,显示管可以显示0到5;U26为模3计数器。

整体为3*10*6*10*6*10,恰好符合时钟,只需在时间为23时59分59秒时所有计数器需重新预制为0,运用反馈电路当U26为0010、U25为0011时用三端与非门预置所有计数器为0.
电路图见下一页。

图中时间显示为15时19分06秒。

二、8位数据的并/串及串/并转换电路
该电路是由六个移位寄存器74LS194及一些门电路构成并联输入从U2的A端、U1的D、C、B、A、U4的D、C、B8个端口并联输入,图中输入分别为10101010,经寄存器八次右移后在输出端为U2的QA端变为串联信号,在示波器图中可以看到其串联输出信号(第一个信号)。

串联信号又从U8的右移串行数据输入端输入,同样经8次右移后分别在U9的QD、Qc、QB、QA端及U8的QD、QC、QB、QA端并联输出。

如此便实现了8位数据的并/串及串/并转换。

注:示波器图中,第一个信号为并转串后的串行输出信号,第二个信号为U2端的输入信号,第三个信号为U8的QA端输出信号,第四个为U9QD端的输出信号。

数电大作业—30s倒计时器

数电大作业—30s倒计时器
不过在此次proteus仿真中,就是一个非门,如下图:
4
5
LE:锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。
A1、A2、A3、A4:为8421BCD码输入端,A1为最低位。
a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。
另外 CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。a,g是 7 段输出,可驱动共阴LED数码管。另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观。
8421 BCD 码,CD4011是四个2输入与非门,当两输入端有一个输入为0,输出就为1。当输入端均为1时,输出为0。当两个输入端都为0时,输出是1。
不过在此次proteus仿真中,就是一个与非门,如下图:
, 4069
4069全称CD4069,是六反相器,以CMOS为基础构件,每个4069中有6个反向器(其实就是数电中的非门)。
1
4011四2输入与非门、4069六反相器、LED灯,开关
, 74LS192
74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。其中CP、CP分别是加计数器、减计数器的时钟脉冲输入UD
端(上升沿有效)。PL是异步并行置数控制端(低电平有效),TC、TC分别是进位、UD借位输出端(低电平有效),MR是异步清除端,P0~P3是并行数据输入端,Q3~Q0是输出端。我们将用到的是它的减计数功能。
TC 它的计数原理是:只有当低位端发出借位脉冲时,高位计数器才作减计数器。当D

数电课程设计之数字钟

数电课程设计之数字钟

课程设计任务书学生姓名: XXX 专业班级:指导教师:工作单位:题目: 多功能数字钟电路设计初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。

要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。

2.秒、分为00-59六十进制计数器。

3.时为00-23二十四进制计数器。

4.可手动校正:能分别进行秒、分、时的校正。

只要将开关置于手动位置。

可分别对秒、分、时进行连续脉冲输入调整。

5.整点报时。

整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

时间安排:第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟电路设计摘要 (1)Abstract (2)1系统原理框图 (3)2方案设计与论证 (4)2.1时间脉冲产生电路 (4)2.2分频器电路 (6)2.3时间计数器电路 (7)2.4译码驱动及显示单元电路 (8)2.5校时电路 (8)2.6报时电路 (10)3单元电路的设计 (12)3.1时间脉冲产生电路的设计 (12)3.2计数电路的设计 (12)3.2.1 60进制计数器的设计 (12)3.2.2 24进制计数器的设计 (13)3.3译码及驱动显示电路 (14)3.4 校时电路的设计 (14)3.5 报时电路 (15)3.6电路总图 (17)4仿真结果及分析........................................... 错误!未定义书签。

4.1时钟结果仿真....................................... 错误!未定义书签。

4.2 秒钟个位时序图..................................... 错误!未定义书签。

数电大作业(智能数字钟)

数电大作业(智能数字钟)

智能数字钟设计一、问题重述数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。

本课程设计要用通过简单的逻辑芯片实现数字电子钟。

要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。

要求:(1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟;(2)完成对“时”、“分”的自动校时。

二、设计目的1.了解智能数字钟的工作原理;2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟;3.正确使用multisim 软件对电路进行仿真及观察;4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。

三、设计要求1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频);2.能显示时、分、秒,24小时制; 3.设计晶体震荡电路来输入时钟脉冲;4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;5.用同步十进制集成计数器74LS160设计一个24小时计数器; 6.译码显示电路显示时间;7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。

四、设计过程4.1总体思路4.1.1思路说明由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。

分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。

秒、分均为六十进制,即显示进制递增计数器。

时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

数字电子实训数字钟的设计(6位)

数字电子实训数字钟的设计(6位)

内容摘要电子产品的研发日新月异,不仅是在通信技术方面数字化取代于模拟信号,就连我们的日常生活也进于让数字化取缔。

说明数字时代已经到来,而且渗透于我们生活的方方面面。

就拿我们生活的实例来说明一下“数字”给我们带来的便捷。

下面就以数字钟为例简单介绍一下,数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,在显示上它用数字反应出此时的时间,相比模拟钟能给人一种一目了然的感觉,不仅如此它还能同时显示时、分、秒。

而且能对时、分、秒准确校时,这是普通钟所不及的。

与此同时数字钟还能准确定时,在你所规定的时间里准确无误的想你发出报时声音,提醒你在此时所需要去做的事。

与旧式钟表相比它更适用于现代人的生活。

这学期恰好遇上学校的《专业基础》课程设计,题目是数字钟的设计。

因而在所学专业的基础上做了以下课程设计。

希望给大家带来方便的同时,使自己对所学专业有进一步的了解!关键词:数字钟;校时;时间显示;定时目录一、数字钟设计的基本概要 1二、数字钟的原理框图 2三、数字钟电路的设计 3四、电路功能测试以及常见问题解决本法 12五、总结体会 13六、致谢 14七、参考文献 15一、数字钟设计的基本概要1.1数字钟设计的目的该数字钟具有基本功能和扩展功能两部分。

其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。

扩展功能部分则具有:仿广播电台整点报时、自动报整点时数的功能。

数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。

这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。

在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。

并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。

1.2数字钟设计的功能要求(一)基本功能:(1)时的计时要求为“24翻1”,分和秒的计时要求为60进制(2)准确计时,以数字形式显示时,分,秒的时间(3)校正时间(二)扩展功能:(1)仿广播电台整点报时功能;(2)自动报整点时数;二、数字钟的原理框图根据设计要求,可建立数字钟系统组成框图,如图(1)所示,数字钟电路系统由主体电路和扩展电路两大部分组成,其中,主体电路完成数字钟的基本计数功能,扩展电路完成数字钟的定时、整点报时扩展功能。

数电课程设计报告完整版——数字钟

数电课程设计报告完整版——数字钟

数字电子钟设计摘要所谓数字钟,是指利用电子电路构成的计时器。

相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。

在此基础上,还能够实现整点报时,定时报闹等功能。

设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。

本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。

详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计,最后将设计好的模块组合调试,并最终在protues下仿真通过。

关键词:数字电子钟校时报时子系统ﻬ1前言由于现代社会的数字电子技术高速发展,电子钟应运而生,又由于电子技术的不断改进,采用中规模的逻辑器件可以使电子钟的体积变得很少,实用更加方便,应用更加广泛。

作为电气工程及其自动化专业的学生,我们都应该能够运用学到的数电和抹点知识,去解决和分析一些逻辑电路的问题,继而学会设计具有一定逻辑功能的逻辑器件,这次电子工艺实习给我们一个能力全面提升的契机。

我们设计的电子钟,严格按照设计要求,具有整点报时,调时,调分等功能,而且增加了停止计时,秒信号灯等功能。

特别是,我们的调时调分开关,都加上了消抖电路,使用了硬件消抖的方法消抖,这些都是我们组,区别于其他组的地方。

ﻬ 2 设计任务2.1 设计思路能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使用3个2位数码管显示。

总体设计本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。

该方案的优点是模块内部简单,基本不需要额外的电路,该方案结构简单,模块间关系较明确,模块外不需使用较多门电路,但不利于功能扩充。

2.2 设计方案2.2.1设计方案一、采用同步电路,总线结构时钟信号分别加到各个模块,各个模块功能相对独立,框图如下:控制总线设计方案12.2.2设计方案二、采用异步电路,数据选择器将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下:该方案用总线结构,主要功能集中在模块内部,模块功能较为独立,模块间连线简单,易于扩展,但设计难度大,门电路数量也比较多。

数电课设-数字式闹钟

数电课设-数字式闹钟

课程设计任务书数字式闹钟第一部分设计任务1.1设计任务(1) 时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。

(2) 具有快速校准时、分、秒的功能。

(3) 能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。

1.2设计指标(1).有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。

时十位显示时个位显示分十位显示(2). 计时以24小时为周期。

(23:59→00:00)(3).具有较时电路,可进行分、时较对。

(4).走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。

第二部分设计方案2.1总体设计方案说明系统组成:显示电路:译码器数码管秒信号发生器:由LM555构成多谐振荡器走时电路:计数器和与非门组成校时电路:秒信号调节闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成2.2模块结构与方框图1.秒钟与分钟显示电路用两片74290组成60进制计数器,输入计数脉冲CP加在CLKA’端,把QA与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数,个位接成十进制形式,十位接成六进制形式,当R0(1)=RO(2)=1且R9(1)*R9(2)=0时74290的输出被直接置0,当R0(1)*RO(2)=0和R9(1)*R9(2)=0时开始计数。

电路图如下:连接成总电路时,分钟的输入信号由秒钟计数器提供。

2.时钟显示电路:同样用2片74290组成24进制,当十位的为2,个位的为4时通过反馈电端,控制个位和十位同时清零,这样就可以按23翻0规律记数了。

电路图如下:连接成总电路时,时钟输入信号由分钟计数器提供。

3.调时分秒可接几个开关来控制个位,十位的信号输入,如开关1、2、space。

如图示:4.闹钟分设置与上面相差一个输入信号,如下图:时设置的个位为十进制,十位为三进制,当十位为2时,通过反馈控制端,个位不能大于等于4,即小时十位为2时,个位加到4时十位和个位马上全部置0,从而让小时的设置只能最大设为23。

数电课设数字时钟+三分钟一报时

数电课设数字时钟+三分钟一报时

学院(系): 专业班级: 姓名: 学号:数字时钟的设计与制作一、基本功能及用途功能:数字电子钟由信号发生器、“时、分、秒”计数器、LED 数码管、校时电路、整点报时电路等功能。

用途:可以实现时分秒时钟显示,可以自己设置时间,具有音乐报时功能。

我们设计的带校时功能的电子时钟可以帮助同学们加强时间观念,更好地利用电子时钟安排好学习与生活。

二、功能框图三、设计内容⒈ 参数选择⑴数码显示管:七段数码显示器,共阴极学院(系): 专业班级: 姓名: 学号:分别显示0、1、2、3、4、5、6、7,共八个数。

⑵显示译码器:4511译码是指把给定的代码进行翻译的过程。

计数器采用的码制不同,译码电路也不同。

CC4511驱动器是与8421BCD编码计数器配合用的七段译码驱动器。

⑶计数器:74LS160是四位十进同步计数器.有四位数置数功能,清除功能,有使能功能可让计数停止或计数.有动态进位输出功能.⑷秒脉冲发生电路:采用由集成电路定时器555与RC组成的多谐振荡器。

如图1所示。

设振荡频率f=1KHz,R为可调电阻,微调R1可以调出1Hz输出555定时器的功能表学院(系): 专业班级: 姓名: 学号:⑸高频振荡发生器:数字钟在指定的时刻发出信号,或驱动音响电路“闹时”,或对某装置的电源进行接通或断开“控制”。

⒉单元电路的设计⑴计时电路:秒脉冲信号经过6级计数器,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时。

“秒”“分”计数器为六十进制,小时为十二进制。

①六十进制秒计数器由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完成一分钟之内秒数目的累加,并达到60秒时产生一个进位信号,所以,选用两片74LS160组成六十进制计数器,采用反馈归零的方法来实现六十进制计数。

其中,“秒”十位是六进制,“秒”个位是十进制。

如图1学院(系): 专业班级: 姓名: 学号:②六十进制分计数器由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完成一分钟之内秒数目的累加,并达到60秒时产生一个进位信号,所以,选用两片74LS160组成六十进制计数器,采用反馈归零的方法来实现六十进制计数。

电子行业数字电子钟

电子行业数字电子钟

电子行业数字电子钟1. 引言数字电子钟是电子行业中一种常见的产品,它利用数字技术来提供精确的时间显示。

在现代社会中,数字电子钟被广泛应用于家庭、办公场所、交通工具以及其他各种场合。

本文将介绍数字电子钟的工作原理、应用领域以及发展趋势。

2. 工作原理数字电子钟采用数字显示技术来显示时间。

它由时钟芯片、数码管、控制电路和电源等部分组成。

时钟芯片可以通过内部的晶体振荡器来产生一个精确的时钟信号,然后通过控制电路将时钟信号转换成数码管可以显示的数字格式。

数码管由多个发光二极管组成,每个发光二极管可以显示一个数字。

控制电路负责将时钟信号分解为小时、分钟和秒钟三个部分,并将它们分别送入数码管中。

通过这样的方式,数字电子钟可以实现精确的时间显示。

3. 应用领域数字电子钟在许多领域都有广泛的应用。

以下是几个常见的应用领域:3.1 家庭在家庭中,数字电子钟被用作墙挂式钟表或床头钟。

它们以简洁、明亮的数字显示方式,方便人们随时了解时间,帮助人们合理安排生活。

一些数字电子钟还带有闹钟功能,可以提醒人们起床或者进行其他重要活动。

3.2 办公场所在办公场所,数字电子钟被用作时间管理工具。

它们可以根据预设的时间表提醒人们参加会议、完成任务等。

数字电子钟通常有多种显示格式可供选择,可以根据需要显示时间、日期、星期等信息。

3.3 交通工具数字电子钟也常常被应用于交通工具,例如汽车、火车和飞机等。

在汽车上,数字电子钟可以显示当前时间和行驶时间,并通过GPS技术自动校准时间。

在火车和飞机上,数字电子钟也起到重要的角色,它们可以提醒乘客准时到达目的地。

3.4 其他场合除了上述应用领域,数字电子钟还可以在许多其他场合得到应用。

例如,它们可以被用作广播电视台的时间提醒器,也可以被用作体育比赛中的计时器。

数字电子钟的应用领域非常广泛,随着技术的发展,它们的应用范围还会继续扩大。

4. 发展趋势数字电子钟在过去几十年中经历了巨大的发展,未来的发展趋势也非常值得关注。

数字电子钟--数电(带闹钟调节时间和整点报时)

数字电子钟--数电(带闹钟调节时间和整点报时)

物理与电子工程学院课程设计题目:数字电子钟专业电子信息工程班级12级电信三班学号********学生姓名李长炳指导教师张小英张艳完成日期:2013 年7月数字电子钟前言:数字钟是一个将“时”、“分”、“秒’’显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时闹铃等功能。

一、基本原理时显示器分显示器秒显示器时译码器分译码器秒译码器时计数器分计数器秒计数器振荡器分频器主体电路1.1 振荡电路晶体振荡器的作用是产生时间标准信号。

我采用由门电路或555定时器构成的多谐振荡器作为时间标准信号源。

本系统中的振荡电路选用555定时器构成的多谐振荡器,见图1。

多谐振荡器的振荡频率可由式估算。

图11.2 时、分、秒显示电路模块设计①秒的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的555产生的秒脉冲链接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。

如下图所示图2注意:两个CP都是连接到555的输出。

②分的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的秒产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。

如下图所示图3注意:两个CP都是连接的秒的进位的输出。

③小时的产生采用74LS160产生24进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的分产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。

如下图所示图4注意:两个CP都是连接的秒的进位的输出。

1.3闹钟我设置的闹钟是00:03响的。

会响一分钟,采用与非门和或门组成的电路。

可以得出以下的电路图当达到00:03时就开始响,当不是00:03是就停止了,喇叭一端节地。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】
智能数字钟设计?
一、问题重述?
数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。

本课程设计要用通过简单的逻辑芯片实现数字电子钟。

要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。

要求:
(1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟;
(2)完成对“时”、“分”的自动校时。

二、设计目的?
1.了解智能数字钟的工作原理;
2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟;
3.正确使用multisim 软件对电路进行仿真及观察;
4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。

三、设计要求?
1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频);
2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲;
4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;
5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间;
7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。

四、设计过程?
总体思路
由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。

分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。

秒、分均为六十进制,即显示进制递增计数器。

时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

时功能的实现:用两片74LS160组成24进制递增计数器。

结构框图及说明
在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。

电路工作原理?
振荡器是数字钟的核心。

振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。

一般来说,振荡器的频率越高,计时精度越高。

如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。

利用555定时器进行产生信号,形成晶振电路,如下
图二?555定时器
用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。

它的功能是产生标准秒脉冲信号。

原理如下
图三?分频电路
当数字钟接通电源或计时出现误差时,需要校正时间,校时是数字钟必备的基本功能。

对校时电路的要求是:在小时教正时,不影响分和秒的正常计数;在分矫正时,不影响秒和小时的正常计数。

其中S1为校分用的控制开关,S2校时用的控制开关,它们的功能表如表1所示表1开关校时功能
图四中C1、C2可以缓解两个开关的抖动,必要时还可以采用去抖动电路。

图四?校时电路
采用同步时序信号控制,用个位的进位端控制十位的使能端,当个位有进位时,芯片工作,输入十位的脉冲信号有效,当十位为2,个位为3的时候,同时给两个芯片的预置端一个有效信号,使之清零,如下
图五?二十四进制电路
采用异步时序电路控制,在十位计数到5时,下一个脉冲一到来就置数。

74LS160构成的60进制计数器和24进制计数器如图六和图五所示。

秒、分、时分别为60、60和24进制计数器。

秒、分均为六十进制,即显示00~59,它们的个位为十进制,十位为六进制,如下
图六?六十进制电路
方法(1)振荡电路产生的1KHZ脉冲信号经三级十分频电路分频后产生的1HZ脉冲信号输入74LS160N连成的60进制秒计数器,再由秒计数器每60秒进位输出给60进制分钟计数器,分钟计数器满60后产生进位信号输入给24进制小时计数器,从而实现24小时制电子钟的功能,如图七
图七?555定时器产生信号
方法(2)直接利用函数信号发生器产生信号振,如图八
图八?函数信号发生器产生信号
元件参数选择?
1、电阻20KΩ,Ω
2、电容,
3、滑动变阻器20KΩ,KEY=A,50%
4、与门74LS00N,74LS05N
5、数码管
五、软件仿真
仿真电路图
“秒”的电路动态运行情况
由以上各波形图可以看出,所设计电路可完成相应功能
仿真过程
电路的连接与仿真是我们这次课程设计的主要任务之一,也是整个过程的最
难的阶段。

仿真这部分工作在multisim仿真软件上进行。

对于电路的仿真分为几个部分,分别对电路各个部分的功能都进行仿真调试之后,每连接一部分都要调试一次,才能确保最后的成功。

仿真结果
电路成功实现了24小时制数字电子钟的功能,可精确计时,每60秒进1分并清零秒计数器,每60分进1小时并清零分钟计数器,每24小时清零所有计数器并重新开始计时。

六、遇到的问题?
利用555定时器产生信号时结果不大正确,故应仔细仿真检验。

(1)在连接晶振的过程中,晶振无法起振.在排除线与芯片的接触不良问题后重新对照电路图,发现是由于12脚未接地所至。

在连接六进制的过程中,发现电路只能4,5的跳动,后经发现是由于接到与非门的引脚接错一根所至,经纠正后能正常显示。

(2)在连接校正电路的过程中,出现时和分都能正常校正时,但秒却受到影响,特别时一较分钟的时候秒乱跳,而不校时的时候,秒从05跳到59,然后又跳回05,分和秒之间无进位,电路在时,分,秒进位过程中能正常显示,故可排除芯片和连线的接触不良的问题.经检查,校正电路的连线没有错误,后用万用表的直流电压档带电检测秒十位的QA,QB,QC和QD脚,发现QA脚时有电压时而无电压,再检测秒到分和分到时的进位端,发现是由于秒到分的进位未拔掉所至.
七、总结改进及体会?
改进(可增加整点报时功及整点闹时功能)
(1)闹时部分?
设置闹时时间为7时59分。

闹时持续一分钟至八点整。

M代表上午的输入信号,设置为高电平,时个位为7,所以当QCQBQA=111时,第一级四输入与非门打开,当分十位为5即QCQA=11,分个位为9即QDQA=11时,第二级四输入与非门打开。

通过与非电路和1KHZ的振荡信号,驱动音响电路工作,三极管起放大驱动电压的作用。

实现定点闹时功能,原理如图
图九?闹钟电路
(2)报时部分?
设置报时时间为整点报时,当秒计数器计数到51秒时,集成电路驱动音响
电路,使之开始工作,每两秒(51、53、55、57、59秒)报时一次,前四声鸣低音,最后一声鸣高音,原理如图
图十整点报时电路
设计体会?
在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.在连接六进制,十进制,六十进制的进位中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了。

通过该电路的设计与仿真我学到的平时上课知道但不会运用的知识,使我对学习数电产生了更浓厚的兴趣。

相关文档
最新文档