基于FPGA:电子钟的设计报告

合集下载

用fpga简易数字钟电路设计实验报告 概述及解释说明

用fpga简易数字钟电路设计实验报告 概述及解释说明

用fpga简易数字钟电路设计实验报告概述及解释说明1. 引言1.1 概述本实验报告旨在介绍使用FPGA(可编程门阵列)设计的简易数字钟电路。

数字钟是一种可以显示时间的时钟装置,广泛应用于日常生活和工业领域。

本文将详细讲解数字钟的设计原理、硬件要求、设计步骤以及实验的实现过程。

1.2 文章结构本文共分为五个部分,即引言、FPGA简易数字钟电路设计、实验实现过程、实验结果分析和结论与总结。

下面将对每个部分进行具体说明。

1.3 目的该实验旨在通过学习和操作FPGA,深入理解数字电路设计的基本原理和方法,并通过设计一个简易的数字钟电路来巩固所学知识。

通过本实验,我们还将探索数字钟电路的性能评估和可能的改进方向,并对未来发展方向进行展望。

同时,通过参与这个项目,我们也将获得一定的实践经验和技能提升。

2. FPGA简易数字钟电路设计:2.1 设计原理:在本次实验中,我们使用FPGA(现场可编程逻辑门阵列)来设计一个简易的数字钟电路。

FPGA是一种集成电路芯片,可依据用户需要重新配置其内部互连,从而实现不同的逻辑功能。

我们将利用FPGA的可编程性和强大的计算能力来实现数字钟的功能。

该数字钟电路主要由时钟模块、倒计时模块和显示模块组成。

时钟模块负责产生稳定而精确的脉冲信号作为系统的时基;倒计时模块通过对输入时间进行倒计时操作,并发出相应信号提示时间变化;显示模块用于将倒计时结果以数码管显示出来。

2.2 硬件要求:为了完成该设计,我们需要准备以下硬件设备:- FPGA开发板:提供了外部接口和资源,用于连接其他硬件设备并加载程序。

- 数码管:用于显示时间信息。

- 时钟源:提供稳定而精确的脉冲信号作为系统的时基。

2.3 设计步骤:以下是设计步骤的详细说明:1. 确定所需功能:首先明确数字钟需要具备哪些功能,例如12小时制还是24小时制、倒计时功能等。

2. 确定FPGA型号:根据设计需求和资源限制,选择适合的FPGA型号。

基于FPGA的电子钟设计报告

基于FPGA的电子钟设计报告

基于FPGA的电子钟设计报告一、FPGA的基本知识1、可编程逻辑器件的概况可编程逻辑器件主要分为FPGA和CPLD 两种,两者的功能基本相同。

FPGA--现场可编程门阵列的简称CPLD--复杂可编程逻辑器件的简称2、FPGA芯片及其最小系统(1)FPGA芯片它的外形与普通嵌入式处理器芯片相同采用PGA(Organic pin grid Array,有机管脚阵列)的封装形式,但可以通过烧写特殊程序改变其内部结构,实现专门的电路功能。

基于FPGA的数字时钟2019-11-23 21:36·电力源动一、FPGA的基本知识1、可编程逻辑器件的概况可编程逻辑器件主要分为FPGA和CPLD 两种,两者的功能基本相同。

FPGA--现场可编程门阵列的简称CPLD--复杂可编程逻辑器件的简称它的外形与普通嵌入式处理器芯片相同采用PGA(Organic pin grid Array,有机管脚阵列)的封装形式,但可以通过烧写特殊程序改变其内部结构,实现专门的电路功能。

二、FPGA的设计方法1、编程语言FPGA的主流程序设计语言主要有VHDL语言与Verilog语言两种。

本课题采用VHDL语言进行编写。

VHDL--用简洁明确的源代码来描述复杂的逻辑控制。

它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。

Verilog--一种基本语法与C语言相近,相比较于C语言更容易理解,2、图形化程序设计(设计效率低)三、软件开发环境QuartusII是Altera提供的FPGA开发集成环境,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

它完全支持VHDL设计流程,其内部嵌有VHDL逻辑综合器。

四、数字钟功能模块认识数字时钟的设计采用了自顶向下分模块的设计。

底层是实现各功能的模块,各模块由VHDL语言编程实现顶层采用原理图形式调用。

具体的设计框图:各模块原理剖析:(1)在七段数码管上具有时--分--秒的依次显示;(2)时、分、秒的个位记满十向高位进一,分、秒的十位记满五向高位进一,小时按24进制计数,分、秒按60进制计数;(3)整点报时,当计数到整点时扬声器发出响声;(4)时间设置:可以通过按键手动调节秒和分的数值。

基于FPGA的多功能数字钟设计报告

基于FPGA的多功能数字钟设计报告

***大学电工电子实验报告EDA技术基础设计报告多功能数字钟设计电子信息科学与技术年 月 日多功能数字钟设计一.任务解析用Verilog硬件描述语言设计数字钟,实现:1、具有时、分、秒计数显示功能,以二十四小时循环计时。

2、具有调节小时,分钟的功能。

3、具有整点报时同时LED灯花样显示的功能。

4、【发挥】三键(模式选择,加,减)调整,数码管闪烁指示功能。

5、【发挥】增加闹钟任意设定功能,时间精确到分。

二.方案论证第2页,共19页三.重难点解析1、模式选择键的设计//模式选择键。

有5个模式,m0为正常走钟;m1为调分;m2为调时;m3为闹钟调分;m4为闹钟调时。

module mode_key(key,clr,m);input key,clr;output [2:0]m;reg [2:0]m;always @(posedge key or negedge clr) beginif(!clr) m=0;else if(m==4) m=0;else m=m+1;endendmodule2、数字钟秒钟计数设计module cnt60_sec(clk,clr,q,c);input clk,clr;output [6:0]q;output c;reg [6:0]q;reg c;always @(posedge clk or negedge clr) beginif(!clr) begin q=0;c=0;endelse if(q[3:0]==9) begin q[3:0]=0;if(q[6:4]==5) begin q[6:4]=0; c=1;endelse q[6:4]=q[6:4]+1;end第3页,共19页else begin q[3:0]=q[3:0]+1;q[6:4]=q[6:4];c=0;endendendmodule、秒钟计数模块就是一个60的计数器,计数到59的时候清零,进位加1。

调时不需要控制秒钟,所以没有加模式选择按键。

(完整版)基于FPGA的电子时钟毕业设计论文

(完整版)基于FPGA的电子时钟毕业设计论文

Civil Aviation University of China电子技术应用设计报告基于FPGA的电子时钟设计专业:通信工程学号: xxxxxxx学生姓名: xxx 所属学院:电信学院任课教师: xxx摘要本设计采用EDA技术,采用原理图和硬件描述语言VHDL混合编程设计时钟逻辑系统,在QuartusII5.0工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的电子时钟。

本时钟系统主芯片采用EP1C6Q240C8N,具有显示时间、日期、时间及日期校准、整点报时、定时闹钟等功能。

其中时间采用24小时循环计数,日期计数器具有闰年、月大、月小的判断并准确计数功能。

通过按键控制可以实现:日期和时间的切换显示、日期和时间的校准、闹钟的开关控制。

关键词:FPGA;电子时钟;原理图;VHDL语言;AbstractIn my design EDA technology is used, and I designed the clock logic system by means of schematic and VHDL language. Under QuartusII5.0 Tools software environment, I used the top-down design methodology, where various basic modules work together to build a FPGA-based electronic clock.The main chip of the clock system is EP1C6Q240C8N, which of time display, date display, time and date calibration, the whole point of time, andregular alarm clock. Furthermore, 24- are designed. What we can achievethrough the control buttons are as follows: switching the display of date andtime, calibration of date and time, and the alarm switch control.Keywords: FPGA; electronic clock; schematic; VHDL language;目录电子技术应用设计报告 .....................................................................................................................基于FPGA的电子时钟设计 ...............................................................................................................摘要................................................................................................................................................... Abstract .............................................................................................................................................基于FPGA的电子时钟设计 ...............................................................................................................1.FPGA介绍 ...............................................................................................................................2.电子时钟的设计方案 ............................................................................................................2.1时钟系统整体介绍 ........................................................................................................2.2分频器...........................................................................................................................2.3时间计数模块................................................................................................................2.4日期计数模块................................................................................................................2.5译码器模块 ...................................................................................................................2.6显示模块 .......................................................................................................................2.7校时模块 .......................................................................................................................2.8闹钟模块 ......................................................................................................................3实习总结 ................................................................................................................................3.1本系统的优点...............................................................................................................3.2本系统的不足...............................................................................................................3.3想实现却又没实现的功能 ............................................................................................附录1:分频器..................................................................................................................附录2:时间计数器 ..........................................................................................................附录3:日期计数器 ..........................................................................................................附录4:译码器程序 ..........................................................................................................基于FPGA的电子时钟设计1.FPGA介绍FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

基于fpga的倒计时时钟实验报告总结

基于fpga的倒计时时钟实验报告总结

基于FPGA的倒计时时钟实验报告总结一、实验目的本实验旨在通过FPGA技术,设计一个能够进行倒计时的时钟电路,并进行相应的功能验证。

二、实验内容1. 确定实验目标:设计一个基于FPGA的倒计时时钟电路,实现倒计时功能。

2. 学习FPGA设计工具:熟悉并掌握FPGA设计工具的使用方法,包括逻辑综合、时序分析等。

3. 编写时钟电路代码:利用Verilog硬件描述语言编写时钟电路的代码。

4. 进行仿真验证:对时钟电路进行仿真验证,确保设计的正确性和稳定性。

5. 下板实验验证:将设计好的时钟电路下载到FPGA开发板上,进行实际验证。

三、实验步骤与结果分析1. 设计倒计时时钟电路通过学习资料和实验指导,我们利用Verilog HDL进行倒计时时钟电路的设计,并对其功能进行初步测试。

初步测试结果表明,设计的倒计时时钟电路能够正常工作。

2. 时钟电路仿真验证我们利用FPGA设计工具对时钟电路进行了仿真验证,通过时序分析和波形仿真,确认了时钟电路的正确性和稳定性。

仿真结果显示,时钟电路能够准确地进行倒计时操作。

3. 下板实验验证将设计好的时钟电路下载到FPGA开发板上进行实际验证。

经过实际测试,我们发现倒计时时钟电路能够稳定可靠地进行倒计时操作,符合设计要求。

四、实验总结通过本次实验,我们深入学习了FPGA技朰,并成功设计并验证了基于FPGA的倒计时时钟电路。

实验中我们克服了一些困难,如时钟电路设计的复杂性和仿真验证的精确性等。

我们顺利完成了实验目标,掌握了FPGA设计工具的使用方法,提高了自己的实践能力和动手能力。

这次实验为我们今后在FPGA领域的研究打下了坚实的基础,也对我们的专业素养、工程实践能力提出了更高的要求。

五、改进建议在今后的实验中,我们可以进一步深入研究FPGA技术,探索更多的应用场景,提高我们的设计水平。

注重团队合作、创新思维,不断提升自己的综合素质和实践能力,为将来的科研工作和工程实践打下更加坚实的基础。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

基于FPGA的数字电子钟的设计与实现

基于FPGA的数字电子钟的设计与实现

基于FPGA的数字电⼦钟的设计与实现背景:本实验所有结果基于Quartus II 13.1 (64-bit)实现,实验过程采⽤⾃下⽽上⽬录⼀、基本功能设计与思路基本功能:能实现秒、分钟、⼩时的计数,计数结果清晰稳定的显⽰在 6 位数码管上。

1、动态显⽰模块该模块主要功能是通过数码管的动态扫描实现 6 位数码管显⽰计数结果,本模块由扫描模块scan_cnt6,位选控制模块 dig_select,数据选择控制模块 seg_select 以及译码模块 decoder 构成扫描模块 scan_cnt6模块功能:产⽣ 位选控制端dig_select 和数据选择端 code_select 模块所需要的地址信息,扫描时钟决定位选信号和数据切换的速度。

设计思路:利⽤74390芯⽚(P160 TTL 双⼗进制异步计数器)构建⼀个模六计数器,就是6进制计数器,利⽤计数到6(110)时,“q2”和“q1”为⾼电平,产⽣ ⼀个复位信号,加到74390的⾼电平有效的异步清0端“1CLR”上,使计数器回0,从⽽实现模六计数。

设计结果:cnt6模块设计图波形仿真:(默认为时序仿真)cnt6模块波形仿真图位选模块 dig_select模块功能:在地址端的控制下,产⽣位选信号。

设计思路:利⽤74138芯⽚(3线-8线译码器),当选通端输⼊端G1为⾼电平,选通端输⼊端G2AN和G2BN为低电平时,将扫描信号cnt6的输出作为输⼊信号,dig[5..0]是译码输出,输出低电平有效。

设计结果:dig_select模块设计图波形仿真:dig_select模块波形仿真图数据选择模块 seg_select模块功能:输⼊ 6 组数据,每组数据 4bit,本模块完成在地址端的控制下从6 组数据当中选择 1 组输出。

设计思路:利⽤74151芯⽚(P91 8选1数据选择器),在控制输⼊端GN为低电平时,将扫描信号的选择下,分别选中D[5..0]对应的输⼊信号输出为Y。

fpga课程设计基于fpga多功能电子钟设计

fpga课程设计基于fpga多功能电子钟设计

FPGA课程设计报告书题目:基于FPGA多功能电子钟设计学院:电气工程与自动化专业班级:摘要 (1)第一章FPGA简介 (2)1.2 FPGA基本结构 (2)1.3 FPGA系统设计流程 (3)第二章系统电路设计 (4)2.1电子钟的设计方案选择 (4)2.2总体设计方案 (4)2.3显示电路设计 (5)2.3.1分频器电路 (7)2.3.2扫描电路电路 (7)2.3.3 BCD码多路选择器 (7)2.3.4 BCD译码器 (7)2.3.5位选码电路 (8)2.4电子时钟计数器电路设计 (8)2.4.1秒和分计数器设计 (8)2.4.2小时计数器设计 (10)总结 (12)参考文献 (13)附录 (14)本文介绍了多功能电子钟的现状及发展动态,多功能电子钟的应用,多功能电子钟的基本原理和实现方法以及系统构建理论。

针对现行电子钟设计方案实现起来相对复杂、误差偏大等弊端,对以FPGA为核心器件的电子钟方案进行了实验研究,利用EDA技术自顶向下的设计方法,设计电子钟各模块及相应具体电路,通过编译、仿真并下载到FPGA实验平台进行测试,运行结果表明:系统能以较小的误差显示时、分、秒,并且当走时不准时,可以通过相应设置键实现校时。

关键词:多功能电子钟;EDA;FPGA第一章 FPGA简介1.1 FPGA概述FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,与之相应的CPLD 是复杂可编程逻辑器件(Complex Programmable Logic Device)的简称,两者的功能基本相同,只是实现原理略有不同,有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/PGFA。

CPLD/PGFA几乎能完成任何数字器件的功能,上至高性能CPU,下至简单的74电路。

它如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入或硬件描述语言自由的设计一个数字系统。

通过软件仿真可以事先验证设计的正确性,在PCB完成以后,利用CPLD/FPGA的在线修改功能,随时修改设计而不必改动硬件电路。

基于FPGA的多功能电子时钟方案设计书报告

基于FPGA的多功能电子时钟方案设计书报告

基于FPGA的多功能时钟的设计学院:电控学院班级:微电子1001班姓名:xxx学号:xxxxxxxxxx日期:2014年3月21日第一章绪论现代社会的标志之一就是信息产品的广泛使用,而且是产品的性能越来越强,复杂程度越来越高,更新步伐越来越快。

支撑信息电子产品高速发展的基础就是微电子制造工艺水平的提高和电子产品设计开发技术的发展。

前者以微细加工技术为代表,而后者的代表就是电子设计自动化(electronic design automatic, EDA)技术。

本设计采用的VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级这三个不同层次的设计;支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力强,因此在实际应用中越来越广泛。

ASIC是专用的系统集成电路,是一种带有逻辑处理的加速处理器;而FPGA是特殊的ASIC芯片,与其它的ASIC芯片相比,它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检测等优点。

在控制系统中,键盘是常用的人机交换接口,当所设置的功能键或数字键按下的时候,系统应该完成该键所对应的功能。

因此,按键信息输入是与软件结构密切相关的过程。

根据键盘结构的不同,采用不同的编码方法,但无论有无编码以及采用什么样的编码,最后都要转换成为相应的键值,以实现按键功能程序的转移。

[1]钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些都是以钟表数字化为基础的。

因此研究数字钟以及扩大其应用有着非常现实的意义。

1.1 选题背景本节将从FPGA嵌入式应用开发技术与数字钟技术发展的客观实际出发,通过对该技术发展状况的了解及课题本身的需要,指出研究基于FPGA的芯片系统与设计——数字钟的设计与实现的必要性。

基于FPGA电子时钟系统编程毕业论文报告

基于FPGA电子时钟系统编程毕业论文报告

基于FPGA电子时钟系统编程毕业论文报告目录一、系统设计要求 (2)二、系统设计方案 (2)三、综合及时电路的设计 (2)3.1 计时电路的设计 (3)3.2 计数电路CNT60、CNT30计数模块的具体设计 (3)四、显示控制电路的设计 (4)4.1 显示控制电路 (4)4.2 显示译码电路 (4)五、调整控制电路TZKZQ的设计 (5)5.1状态切换电路 (5)5.2 加一调整电路 (5)5.3 TZKZQ的输入、输出 (5)六、系统总体电路组装图 (6)七、主要的VHDL源程序 (6)7.1 显示控制电路DISPLAY.VHDL源程序 (6)7.2 调整控制电路TZKAQ.VHDL源程序 (9)7.3 计数电路CNT60.VHDL源程序 (11)7.4 计时电路CNT30.VHDL源程序 (12)7.5 系统总体组装电路的源程序…………………………………………14八、系统仿真/硬件验证 (19)8.1 CNT60.VHDL仿真 (19)8.2 CNT30.VHDL仿真 (20)8.3 DISPLAY.VHDL仿真 (20)8.4 TZKZQ.VHDL仿真 (20)8.5 系统硬件验证 (20)九、设计技巧分析 (21)十、系统拓展思路 (21)十一、系统设计心得体会 (22)一、系统设计要求设计一个综合性的计时系统,要求能实现年、月、日、时、分、秒以及星期的计数等中和计时功能,同时将计数结果通过七段数码管或1602液晶显示。

设计也能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对年、月、日‘时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。

二、系统设计方案根据系统的设计要求,综合设计系统可分为三个主要模块:综合计时电路模块、显示控制电路模块和调整控制电路模块。

其综合控制电路模块主要用于完成年、月、日、十、分、秒及星期的计时功能,显示控制模块主要用于完成各计时结果的显示控制和显示译码等相关的功能,调整控制电路主要用于调整及时电路的初始值即校正显示时间。

基于FPGA定时闹钟实验报告

基于FPGA定时闹钟实验报告

西南科技大学电工学,电子技术学生实验报告课程名称FPGA技术课程设计实验课题定时闹钟班级电子0902指导老师龙惠民评分实验小组2012年4月25日实验课题:定时闹钟实验时间:2012年4月16日—4月30日实验小组成员:一.设计要求设计一个具有系统时间设置和带闹钟功能的24小时计时器中的应用。

电子钟要求如下:(1)计时功能:4位LED数字时钟对当前时间的小时和分钟进行显示,显示的最长时间为23小时59分。

(2)设置并显示新的闹钟时间:用户先按“set”键,再用数字键“0”~“9”输入时间,然后按“alarm”键确认。

在正常计时显示状态下,用户直接按下“alarm”键,则已设置的闹钟时间显示在显示屏上。

(3)设置新的计时器时间:用户先按“set”键,再用数字键“0”-“9”输入新的时间,然后按“time”键确认。

在输入过程中;输入的数字在显示屏上从右到左依次显示。

例如,用户要设置新的时间12:00,则按顺序输入“l”,“2”,“0”,“0”键,与之对应,显示屏上依次显示的信息为:“1”,“12”;“120”,“1200”。

如果用户在输入任意几个数字后较长时间内,例如5秒,没有按任何键,则计时器恢复到正常的计时显示状态。

(4)闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声;二.设计流程1.顶层设计顶层设计结构2.各模块原理根据顶层设计结构,初步将定时闹钟分为分频器,计时模块,显示模块,闹钟模块。

(1).计时模块包括秒计时子模块(sec),分计时子模块(min),时计时模块(hour),以及主控子模块(control)。

其工作原理:为妙计时子模块送1HZ脉冲,但秒计数达60时,秒计数器清零,秒进位信号为‘1’,并作为分计数器的时钟信号。

每产生一个秒进位信号,分计数器加‘1’。

同样,分计数达60时,计数器清零,并产生一个分进位信号,作为时的时钟信号,每产生一个分进位信号,时计数器加1,当计数器达24时,计数器清零。

基于FPGA电子钟设计

基于FPGA电子钟设计

重庆邮电大学电子设计自动化技术与应用设计报告设计题目:基于FPGA语言的数字钟设计学院:通信学院*名:***学号: S*********一、需求分析本设计是基于利用FPGA设计具有时、分、秒显示功能的简易时钟电路,数字电路的基本结构由两个60进制计数器和一个24进制计数器组成,分别显示小时,分钟,秒的基本功能。

计数器有可以随意调整时间的功能,当显示器显示为23时59分59秒时,秒再进一位则时计数器清零。

二、总体设计1 、设计思路:利用FPGA实现电子时钟的功能,显示电路利用七段数码管进行显示。

它的计时周期是24小时,满刻度为23时59分59秒。

对于FPGA来说可以使用任何的I/O口来实现数据的传输,其I/O口的数量远多于单片机。

整个电路以FPGA芯片作为总体控制核心,对整个电路的正常运行进行控制,对于1秒时间产生可以利用开发板上可调频率来实现每1秒。

系统完成时间的实时计算以后,将计算数据通过I/O口传给数码管进行显示,通过段选和位选判断以后,将时间实时显示出来。

对于调整时间来可以利用简单的独立按键来实现调整复位及小时/分钟/秒的加一操作,并用数码管实时的显示调整后的时间。

当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器信号,时、分、秒的计时结果通过6个数码管来动态显示,加上分隔符一共需要8个数码管显示。

2 、器件选型8位7段数码管、FPGA芯片。

三、硬件设计硬件电路总体的设计:硬件电路设计,要结合开发板上的硬件连接,从而对应连接才可以设计电路。

首先确定使用FPGA的哪个I/O口,对于按键使用哪个I/O口资源,按键SW0-SW3作为独立按键使用。

系统框图如下:Clk 0Clk1八个数码管组成的显示电路位选电路时计数器分计数器秒计数器译码显示电路按键调整电路秒、分、时计数器管脚分配表如下:四 、软件设计1、 总体软件流程图由于在Verilog语言中各个模块电路同时工作采用并行执行的,时序逻辑由时钟驱动,因此在程序中一定要注意时序的设计及时钟的设计,在各个不同的时钟驱动下电路响应的方式。

基于FPGA的数字钟设计

基于FPGA的数字钟设计

电子课程设计实验报告本报告共有两个项目——数字钟和音乐计算器,每个项目由三部分组成,第一部分为工程顶层图,第二部分为模块划分及功能,第三部分为实验中遇到的问题及解决方法,最后是对课程的一点建议。

项目一:数字钟一.顶层图二.模块划分及功能数字钟主要有分频,输入,计时,闹钟,数据选择,音乐,显示七大模块,其中各模块的功能介绍如下:1.分频模块(fenpin):功能是产生用于计时,扫描输入,扫描显示,以及播放音乐所需的各个频率的信号。

2.输入模块(input):功能是识别键盘的输入,具体来说,主要是判断是否有键按下,并且确定按下的是哪个键,并给出相应的操作信号。

3.计时模块(minute,hour):分为分计时(minute)和小时计时(hour)两个小模块,分别完成分位与小时为的计时与时间设置功能,正常计时是每次清零后从00:00开始计时,若预置时间,则从预置数开始计。

4.闹钟模块(alart):功能是设置闹钟和整点报时,当切换至闹钟设置时,可以输入时间,并将其记录下来,当时钟时间与闹钟预设时间相同时,给出一个脉冲信号,另一功能是当时钟为整点时给出一个脉冲信号。

5.数据选择(sel):功能是设置时间时将键盘输入的数据传给计时和显示模块,当设置闹钟时将键盘输入的数据传给闹钟和显示模块。

6.音乐(music):功能是当闹钟部分给出给出响铃信号(闹钟和整点)时响起两端不同的音乐。

7.扫描显示(display):功能是在数码管上分别显示时间的四位数值,并且当时间设置至某一位时点亮相应的小数点。

三.问题及解决方法1.语法错误因为第一个项目是数字钟,刚接触时对VHDL语言还不是非常熟悉,因此常常会犯忘掉分号或是END IF 之类的错误,这类问题根据编译时的提示都能解决。

2.键盘的稳定性问题最初设计的键盘扫描方式是一直扫描,当有按键按下时也不断给出该键的位置并执行相应的操作,但是在上板后发现键盘不稳定,具体表现是当按下某个键时两次扫描给出的位置可能有偏差,例如按着2键,可能在中间某一次会显示成3,最后解决的方法是当检测到如果有按键按下时边将扫描列的高电平锁定在该列,例如检测到有按键1时,便将列输出锁定为“1000”,直到按键松开时再从下一列重新开始扫描,这样键盘就稳定了很多。

基于FPGA的电子时钟的设计

基于FPGA的电子时钟的设计

1.设计要求:1).能够显示时、分、秒的六位数值,实行24小时制。

2).具有方便的调时功能。

3).具有跑表的功能,可以方便的进行计时。

4).具有一定的稳定性。

2.总体设计框图由分频电路产生1HZ、100HZ、1000HZ的脉冲信号,1HZ送入计数器进行计时。

100HZ作为跑表的基准信号,1000HZ用来动态扫描模式选择键。

由按键模块来选择是计时、跑表还是调时,然后由显示模块显示出来时间。

当程序执行出现错误时,由复位电路使FPGA重新执行。

3.硬件分块介绍复位电路:当FPGA执行程序出现错误时,由上电复位电路使其从程序的开始重新执行,确保程序运行的正常。

FPGA:由分频程序就是分别产生1HZ、100HZ、1000HZ的脉冲信号,由于FPGA 的晶振频率为50MHZ要进行2分频才会产生25MHZ。

进行计数当计数到24999999时,就是产生1HZ。

当计数到249999就会产生100HZ信号。

当计数到24999就会产生1000HZ的信号。

用1HZ的脉冲信号作为秒的基准信号,每来一次脉冲秒位加1,当满60时分位加1,同时秒位清0;当分位满59时,秒位满59时再过一秒时位加1,同时分、秒位清0。

按键模块:主要是用来实现模式选择。

本时钟有三个模式,分别是正常计时、跑表、时间调整。

而时间调整只能调分、时,且只有加1的功能。

当调整到跑表功能时,用一个按键就可以实现开始、暂停、清0的所有功能。

显示模块:显示分为正常显示和调时显示为8位数码管显示,信号分为段选和位选,位选为先选秒个位然后循环左移逐个选择8位数码管,段选为译码器根据输出信号选择点亮的数码段。

4软件分块介绍主流程图分频模块流程图由于时钟频率为50MHZ要产生1HZ、100HZ、1000HZ的脉冲信号。

而且时钟先要二分频。

这是产生1s信号。

这是产生10ms信号。

这是产生1ms信号。

符号图如下:计时模块流程图由分频产生1s的信号。

来一个脉冲秒位加1,如果满60,分位加1,秒位清0;如果分为满60,小时加1,分位清0。

电子钟课程设计实验报告

电子钟课程设计实验报告

电子钟课程设计实验报告实验报告:电子钟课程设计一、实验目的:1. 掌握电子时钟的硬件设计和软件编程方法。

2. 熟悉数字电路的设计和实现。

3. 提高电路设计和实验能力。

二、实验设备和材料:1. FPGA 开发板。

2. 七段数码管。

3. 按钮开关。

4. 时钟电路。

三、实验原理:本电子钟的基本原理是通过 FPGA 芯片实时计数,并将计数结果转化为时间的显示。

时钟电路提供一个恒定的时钟信号,FPGA 芯片在每个时钟脉冲到来时进行计数,并将计数结果转化为显示在七段数码管上。

按钮开关用于设置时间。

四、实验步骤:1. 确定时钟信号的频率,并设计时钟电路,将时钟信号连接到FPGA 开发板上的时钟输入引脚。

2. 将七段数码管分别连接到 FPGA 开发板上的输出引脚。

3. 设计计数模块,包括计数器和时钟信号的同步控制。

4. 设计显示模块,将计数结果转化为七段数码管的控制信号。

5. 设计按钮开关控制模块,用于设置时间和调整闹钟参数等功能。

6. 将上述模块整合在一起,并进行综合和实现。

7. 进行电路调试和测试,检查电子钟的功能是否正常。

五、实验结果:经过调试和测试,实验电子钟正常工作,能够实时显示当前时间,并且可以通过按钮开关进行时间设置和闹钟调整。

六、实验总结:通过本次实验,我掌握了电子时钟的硬件设计和软件编程方法,提高了数字电路设计和实验能力。

通过学习和实践,我深刻理解了数字电路和时序控制的基本原理,并能够将其应用到实际项目中。

我还发现,在设计和实现电子钟的过程中,需要注意时序控制的正确性,以确保信号的稳定和正确传递。

基于FPGA的电子钟设计

基于FPGA的电子钟设计

基于FPGA的电子钟设计基于FPGA的电子钟设计随着物联网技术的发展,我们对于时间的要求也越来越精细。

电子钟作为一个基本的时间计量工具,其精度和稳定性至关重要。

而FPGA作为一种强大的可编程逻辑器件,具有高速、高效、灵活等优势,成为电子钟设计的重要基础。

一、电子钟的基本原理电子钟是指采用电路元器件、微处理器等电子器件构成的时钟。

其基本原理可以概括为:利用更精确的时间计量器件替代机械时钟中的发条和摆轮,同时采用数字处理器等电子元器件代替机械表盘展示时间。

电子钟的核心是时基电路,其作用是产生高精度的时间信号,为其它电路提供时间标准。

通常采用晶振、TCXO、OCXO等时基器件。

为了保证时间精度,还要从外部时钟或天线接收校正信号。

二、FPGA的优势FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,可以灵活地对电路进行编程和调整,从而实现不同的功能。

FPGA相对于ASIC(Application Specific Integrated Circuit),具有以下优点:1. 灵活性:FPGA可以通过重新编程来实现不同的逻辑功能,而ASIC则需要重新设计电路,成本和时间都比较高。

2. 高速:FPGA以可编程方式实现电路逻辑,减少了多级缓存的开销,因此运行速度更快。

3. 低功耗:FPGA可以在逻辑上进行优化,以达到低功耗效果,同时利用现代的制造技术,还可以采用低功耗工艺。

4. 可重用性:FPGA的电路设计可以在不同的项目中重复使用,从而提高了效率和经济性。

三、基于FPGA的电子钟设计基于FPGA的电子钟设计方案主要包括两部分,分别是时基电路和数码显示电路。

1. 时基电路设计时基电路是电子钟的核心部分,可以采用晶振、TCXO、OCXO等器件来产生高精度的时间信号。

在电子钟的设计中,一般还需要接收外部同步校时信号,用于校正时钟误差。

基于FPGA的时基电路设计主要包括以下几个部分:(1)时钟输入电路:接收来自外部同步信号的时钟脉冲,用于校准电子钟的误差。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子钟的设计报告
姓名:< >
组别:< >
专业班级:< >
广东科学技术职业学院
目录
目录 (1)
一、数字钟的设计要求 (2)
二、顶层设计 (2)
三、模块 (3)
1.顶层模块 (3)
2.分频器模块 (4)
3.按键消抖模块 (4)
4.计时模块 (5)
5.显示模块 (6)
6.报时和闹钟模块 (6)
四、系统调试及运行结果分析 (7)
1、硬件调试 (7)
2、软件调试 (8)
3、调试过程及结果 (8)
(1)显示模块调试 (8)
(2)时间系统模块调试 (9)
五、总结 (9)
1
一、数字钟的设计要求
设计一个具有时、分、秒计时第二电子钟电路,按24小时制记时。

要求:
1、准确计时,以数字形式显示时、分、秒的时间;
2、具有分、时校正功能,校正输入脉冲频率为1Hz;
3、具有仿广播电台整点报时的功能,即每逢59分51秒、53秒、55秒及57秒时,发出4声500Hz低音,在59分59秒时发出一声1kHz高音,它们的持续时间均为1秒。

最后一声高音结束的时刻恰好为正点时刻。

4、具有定时闹钟功能,且最长闹铃时间为一分钟。

要求可以任意设置闹钟的时、分;闹铃信号为500Hz和1kHz的方波信号,两种频率的信号交替输出,且均持续1S。

设置一个停止闹铃控制键,可以停止输出闹铃信号。

二、顶层设计
采用自顶向下的设计方法,首先根据数字时钟的功能要求进行顶层设计和分析,用FPGA实现系统的计时、显示驱动、按键输入处理、仿广播电台整点报时的功能。

根据实训平台的硬件资源情况,输入信号包括时钟输入和按键输入,其中系统时钟由实训平台核心板50MHz
晶振提供,拨码开关作为校时、闹钟时间设置和复位的信号输入,输出信号包括峰鸣器控制输出、8位动态数码管位选和段选控制输出。

数字电字时钟系统主要有分频器模块、按键消抖模块、计时模块、整点报时和闹铃模块和显示驱动模块构成。

三、模块
1.顶层模块如下图所示。

2.分频器模块
分频器模块的主要功能是为其他模块提供时钟信号。

输入端口:50MHz的时钟信号;
输出端口:1Hz、500Hz和1kHz三种频率的时钟信号。

如下图所示。

3.按键消抖模块
作为机械开关的键盘,在按键操作时,机械触点的弹性及电压突跳等原因,在触点闭合或开启的瞬间会出现电压抖动,如下图所示。

实际应用中如果不进行处理将会造成误触发。

按键去抖动关键在于提取稳定的低电平状态,滤除前沿、后沿抖动毛刷。

对于一个按键信号,可以用一个脉冲对它进行采样。

如果连续三采样为低电平,可
以认为信号已经处于键稳定状态,这时输出一个低电平按键信号。

继续采样的过程中如果不能满足连续三次采样为低,则认为键稳定状态结束,这时输出变为高电平。

按键消抖模块功能是消除校时按键的机械抖动。

输入端口:消抖时钟,按键K1,K2和K3;
输出端口:校时信号set_h,校分信号set_m,显示模式mode。

如下图所示。

4.计时模块
计时模块是数字钟的主体电路,包括正常计时、对时间进行校正、设置闹钟时间和判断闹铃等功能。

输出端口:clk_1Hz_in,秒计时脉冲输入;
mode,校时和闹钟设置功能切换信号输入;
set_h,set_m,校时和闹钟设置信号;
nCR,复位信号。

输出端口:time_data,时分秒BCD码输出;equ,闹钟标志。

计时模块如下图所示。

5.显示模块
显示模块参考模块二中的数码管动态扫描项目。

显示模块如下图所示。

6.报时和闹钟模块
报时和闹钟功能有两个:
一是实现仿电台正点按照4低音1高音的顺序发出间断声音,以最后一声结束时的时刻;
二是当设定的闹铃时间报时和数字钟当前的时间相等时,驱动蜂鸣器电路“闹时”,闹铃时间长为一分钟,为了能随时关掉闹铃声音,设置了一个控制键Ctrl_Bell。

报时和闹钟模块如下图所示。

四、系统调试及运行结果分析
1、硬件调试
在软件联机调试之前,首先要确定硬件是否完全正确。

检查方面主要包括:(1)PC机的接口和核心板上的JTAG下载口是否连接正确;
(2)蜂鸣器的电路是否为通路;
(3)检查接地、电源线是否连接正确;
(4)LED七段数码管显示正常。

引脚分布如下表:
2、软件调试
在确定好硬件系统正确之后,我对本设计进行分模块的软件调试。

在与主程序衔接时,主程序和各子程序也需作相应的改动,以便与子程序更好的衔接,特别是显示子程序需作较大改动,以便对不同内容进行显示。

软件编译后的结果:
3、调试过程及结果
调试过程按照:显示模块→时间模块→键盘模块→时间设定及其显示模块→闹铃、整点报时设定及其显示模块的顺序进行调试。

(1)显示模块调试
本系统功能完整运行离不开正确显示,所以显示模块的实现非常重要,在联机调试第一步就要确定系统的显示是否能够正常运行。

将需要显示的内容编写在程序内,单独得进行仿真。

此模块调试结果如图所示:
(2)时间系统模块调试
确定系统显示成功后,进行时间系统的调试。

时间系统需要实现时、分、秒的正确走时。

显示结果为时、分、秒,其中秒钟可以正常走动。

此模块调试结果如图所示:
五、总结
在FPGA上设计和调试都需要耐心,时钟设计在生活中无处不在,设计的过程要考虑到应用的习惯,设计更人性化的体验,才会是一个好的设计。

在Verilog HDL语言的学习上还存在一些问题,没有深入的学习,对于有些语法错误,还需要仔细的查找。

如果将这个数字时钟应用于现实生活中,还存在些许的问题。

例如操作起来没那么的方便等等。

相关文档
最新文档