EDA实习报告

合集下载

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告eda课程设计实习心得体会报告eda课程设计实习心得体会报告篇1EDA课程设计心得体会,这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,没有过实际开发设计的经验,我们基本学会了PLC设计的步聚和基本方法。

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。

后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。

经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。

另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

eda技术应用实训报告

eda技术应用实训报告

EDA技术应用实训报告1. 引言探索性数据分析(Exploratory Data Analysis,简称EDA)是一种数据分析方法,旨在通过可视化和统计技术来了解数据集的特征、检查数据的质量,并发现其中隐藏的模式和关系。

EDA在数据科学领域应用广泛,为后续的数据建模和预测提供了基础。

本报告旨在应用EDA技术来分析一个实际数据集,并展示其中的步骤和方法。

2. 数据集介绍2.1 数据来源本实训使用的数据集来自于某电子商务网站的用户行为日志。

数据包括用户ID、时间戳、用户行为类型、商品ID等信息。

2.2 数据预处理在进行EDA之前,我们进行了一些数据预处理的步骤,包括:•去除重复值•处理缺失值•日期格式转换和处理•数据类型转换等经过预处理后,我们得到了干净的数据集用于后续的EDA分析。

3. EDA方法和步骤本次实训的EDA分析过程包括以下几个步骤:3.1 数据探索与可视化首先,我们对数据集进行了整体的数据探索,包括数据的基本统计特征、数据的分布情况等。

我们使用了Python中的pandas库和matplotlib库进行数据可视化,绘制了柱状图、散点图、箱线图等,以便更好地理解数据集的特征。

3.2 关联分析在数据探索的基础上,我们进行了关联分析,以了解不同变量之间的相关性。

我们使用了Python中的seaborn库和corr函数来计算变量之间的相关系数,并绘制了热力图来展示相关性。

通过关联分析,我们发现了一些变量之间显著的相关性,这对于后续的特征选择和建模有很大的帮助。

3.3 异常值检测在进行EDA之前,我们对数据集进行了异常值检测。

我们使用了Python中的箱线图和散点图等可视化方法来检查数据中存在的异常值。

通过这些方法,我们成功识别出了一些数据集中的异常值,并对其进行了处理。

3.4 特征工程在对数据集进行了基本的探索和分析后,我们进行了一些特征工程的操作,以提取和构造新的特征。

我们使用了Python中的numpy库和pandas库来进行特征工程操作,包括特征选择、特征缩放、特征变换等。

EDA技术及应用实训报告

EDA技术及应用实训报告

EDA技术及应用实训报告
1.设计自动化技术
设计自动化技术(Design Automation)是一项将新科技与新技术结合在一起的实际工程学科,它将工程设计和制造过程的各个方面进行自动建模、自动仿真、自动集成,从而实现对制造技术的模拟和控制,实现优化系统设计和提高设计效率的目的。

设计自动化是达到设计自动化的途径之一,它强调了“从设计中抽象出规则、流程、模型和算法”,并对它们进行自动化,从而克服了手动设计中的过程繁琐、重复劳动的缺点,为设计师提供了更快、更准、更节劳的方法。

2、EDA技术
EDA(电子设计自动化)是在电子产品设计的整个流程中使用计算机软件和硬件工具来实现设计自动化的过程,也称之为电子产品设计自动化(EDA)。

EDA技术已经发展到成熟阶段,&&主要用于电路板设计、电路仿真、CAD/CAM设计、电路布线和测试等领域。

2024年eda课程设计实习心得体会报告

2024年eda课程设计实习心得体会报告

2024年eda课程设计实习心得体会报告【摘要】本文是对2024年EDA课程设计实习的心得体会报告,从实习前的准备、实习过程中的工作内容和团队合作、实习的收获和反思等方面展开描述和分析,总结了本次实习对提升工程设计能力和团队协作能力的重要性,具体包括实习带来的技术提升和团队合作经验的积累等。

【正文】目录1. 引言2. 实习前的准备3. 实习过程中的工作内容和团队合作4. 实习的收获和反思5. 结束语1. 引言EDA(Electronic Design Automation)课程是计算机科学与技术专业的核心课程之一,涉及到电子设计流程的各个环节。

为加深对EDA课程的理解,提高工程设计能力和团队协作能力,我决定参加2024年的EDA课程设计实习。

本文就我的实习经历进行了总结和分析。

2. 实习前的准备在实习正式开始之前,我进行了一系列的准备工作。

首先,我对EDA课程相关的知识进行了系统的复习。

通过查阅相关教材、课件和论文,我对电子设计流程的各个环节有了更深入的理解。

同时,我也研究了最新的EDA工具和技术,以了解行业的最新动态。

其次,我参加了学校组织的项目管理培训班,学习了项目管理的理论和实践。

这对我在实习过程中进行任务规划和时间管理起到了很大的帮助。

最后,我与我的团队成员进行了深入的讨论和交流,明确了我们的目标和任务分工。

我们确定了实习期间的工作计划,并制定了一个实施方案,包括每个人的具体工作内容和时间节点。

3. 实习过程中的工作内容和团队合作在实习过程中,我主要负责了电子设计流程中的数字电路设计部分。

根据我们的实施方案,我进行了相关的库文件设计、逻辑综合、门级综合和时序优化等工作。

在工作内容的具体实施过程中,我遇到了一些困难和挑战。

例如,在数字电路设计过程中,我遇到了一些时序约束的问题,导致综合结果不理想。

为了解决这个问题,我与团队其他成员积极沟通,寻求他们的帮助和建议。

通过共同努力,我们最终解决了这个问题,并得到了更好的综合结果。

EDA实验报告(12份).pdf

EDA实验报告(12份).pdf

实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。

3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。

实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。

2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。

3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本实验旨在通过使用EDA(数据探索性分析)技术,进一步了解和分析所研究数据的特征、分布、关系以及可能存在的异常值等,从而为后续的数据建模和决策提供更加准确的依据。

二、实验步骤1. 数据收集与加载从数据源中获取所需数据集,并使用相应的数据加载工具将数据集导入到实验环境中。

多种数据源包括文件、数据库、API请求等方式均可。

2. 数据检查与预处理对导入的数据进行初步检查,包括数据类型、缺失值、异常值等方面的处理。

根据具体需求,对缺失值可以进行填充或删除操作,对异常值可以通过替换、删除或者修复的方式进行处理。

3. 数据探索性分析a) 描述性统计分析对各个变量进行描述性统计,包括计算均值、中位数、标准差等指标,以直观地了解数据的分布和变异程度。

b) 单变量分析对每个变量进行分析和探索,绘制直方图、箱线图、概率密度图等,以帮助我们了解变量的分布情况、异常值等。

c) 多变量分析使用散点图、柱状图、热力图等方式,对不同变量之间的关系进行分析。

可以通过相关性分析、协方差矩阵等方法来探索变量之间的线性关系。

4. 结果可视化在数据分析过程中,可以使用适当的可视化方法将分析结果直观地展示出来,如绘制折线图、散点图、热力图等。

可视化可以更好地理解数据的特征和趋势。

5. 异常检测与处理在探索性分析过程中,发现异常值后,需要进一步分析和决定如何处理它们。

可以采用剔除、修复等方式,使得数据能够更加符合实际情况。

6. 相关性分析对于关键变量之间的相互关系,可以使用相关性分析等统计方法来衡量它们的相关程度。

这可以帮助我们理解变量之间的影响和作用,以及它们与问题或目标变量之间的关系。

三、实验结果通过对所研究数据集的EDA实验,我们得出以下结论:1. 数据集的缺失值情况较为严重,需要进行适当的处理,以避免因缺失数据引起的结果不准确或失真的问题。

2. 变量A和变量B之间存在较强的正相关关系,即当A增加时,B 也会相应地增加;变量C则与变量A和B之间的关系较弱。

EDA实训报告范文

EDA实训报告范文

EDA实训报告范文实训报告一、背景介绍本次实训的数据集是某电商平台的用户行为数据集,包括用户在平台上的浏览、搜索、点击、购买等行为。

数据集主要包括用户ID、时间戳、商品ID、行为类型、商品类目ID、品牌ID等字段。

二、目标通过对数据集进行EDA(探索性数据分析),了解用户行为的特征、用户购买行为的规律,为后续的用户行为预测和推荐系统构建提供参考。

三、数据预处理1. 缺失值处理:检查数据集中是否存在缺失值,若存在则根据缺失值的数量和特征的重要程度进行处理,常见的处理方式包括删除缺失值、用均值或中位数填充缺失值等。

2. 异常值处理:检查数据集中是否存在异常值,如超出合理范围的数值或不符合业务规则的数据等,对异常值进行处理,常见的处理方式包括删除异常值、修正异常值等。

3. 数据类型转换:将数据集中的时间戳字段转换为日期格式,方便进行时间序列分析。

四、数据分析1. 用户行为分析:统计不同行为类型的用户数量,分析用户在平台上不同行为的比例和趋势,如浏览、搜索、点击和购买的比例和变化趋势。

2. 用户购买行为分析:统计用户购买行为的频次和金额,分析用户的购买习惯,如平均购买频次、平均购买金额等。

3. 商品类目分析:统计不同商品类目的销量和点击量,分析用户对不同类目商品的偏好程度,如热门类目和冷门类目的情况。

4. 品牌分析:统计不同品牌的销量和点击量,分析用户对不同品牌的偏好程度,如热门品牌和冷门品牌的情况。

五、数据可视化为了更直观地展示数据分析的结果,可以使用各种图表进行数据可视化,例如柱状图、折线图、饼图等。

数据可视化可以帮助我们更清楚地了解数据的分布、趋势和关联性。

六、结论通过对数据集的分析,可以得出以下结论:1. 用户在平台上的浏览行为最多,购买行为最少。

2. 用户的购买频次和金额平均较低,说明用户对平台的忠诚度和消费力有待提高。

3. 部分商品类目和品牌受欢迎程度高,可以加大推广和宣传力度。

4. 需要进一步分析用户行为和购买行为的关系,挖掘用户购买的动机和推荐系统的改进点。

EDA实验报告完结版

EDA实验报告完结版

EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。

具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。

二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。

实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。

三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。

通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。

2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。

运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。

3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。

在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。

4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。

明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。

5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。

6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。

观察电路在实际运行中的表现,对出现的问题进行分析和解决。

eda实习报告

eda实习报告

eda实习报告一、实习概况我在xxx公司进行了为期两个月的EDA工具的实习。

在实习期间,我主要负责对公司EDA工具的测试与优化,参与了多个项目的研发,并对公司的EDA工具有了更深入的了解。

二、实习内容1.测试与优化在实习期间,我主要负责对公司的EDA工具进行测试与优化。

通过对EDA工具使用场景的模拟和错误数据的引入,我发现了一些潜在的问题,并给出了优化建议。

通过与开发团队的沟通和合作,我参与到了多个版本的升级和维护,帮助公司的EDA工具在长期使用过程中具有更高的稳定性和可靠性。

2.项目研发我也参与了公司多个项目的研发。

在项目的初期,我主要负责需求分析和功能设计。

在需求分析环节,我通过与客户的交流了解其需求,并将之转化为可执行的需求文档;在功能设计环节,我根据需求文档和业界标准,设计了完整的功能逻辑图和实现流程。

在项目的后期,我则负责对代码和文档的完善和修改,确保项目的质量和效率。

三、工作收获在这次实习中,我收获了很多技术和经验。

首先,我掌握了EDA工具的基础原理和应用场景,在对公司的EDA工具进行测试和优化的过程中,得到了很多实践经验和真知灼见。

同时,我也掌握了一定的代码调试和优化技巧,在项目研发的过程中,能够较快地解决一些常见问题。

其次,我感受到了团队合作的重要性。

在每个项目中,我都需要与开发团队、测试团队和客户进行良好的沟通和协作。

通过这个过程,我学会了如何倾听和表达,如何与他人合作,以及如何分析和解决问题。

最后,我认为行动力和自我学习精神是一名工程师必备的素质。

在工作中,我需要快速适应各种场景和环境,积极主动地解决问题和提高自己的技术。

通过不断学习和尝试,我对EDA工具和项目研发的理解更加深刻和全面。

四、总结通过这次实习,我对EDA工具和项目研发有了更深入的了解,更全面的认识了工程师需要具备的素质和能力,并提升了自己的技能和经验。

我相信,这次实习对我未来的学习和发展具有很大的帮助和启示。

eda实习心得体会范文(2篇)

eda实习心得体会范文(2篇)

eda实习心得体会范文实习心得体会——数据分析实习经历一、实习背景我是一名大三的学生,专业是数据科学与大数据技术。

在暑假期间,我有幸获得了一份数据分析的实习机会。

这是我第一次进行正式的实习,对于我而言,这是一次非常宝贵的学习和成长的机会。

在实习开始之前,我对数据分析领域有一些基础的知识和技能。

我曾参加过一些相关的课程和培训,并且在自己的项目中也进行了一些数据分析的工作。

但是,实际应用的过程中,还是出现了很多问题需要解决和学习。

二、实习内容私募股权基金公司是我实习的单位,这是一家新兴的金融机构,专门从事股权投资的。

我的实习内容主要包括两个方面:数据清洗和数据分析。

1. 数据清洗数据清洗是数据分析的基础工作,也是决定结果准确性和可靠性的关键。

在实习期间,我主要负责清洗公司的投资数据。

清洗的过程包括了数据的清理、去重、填充缺失值等工作。

与初期的预期不同的是,数据清洗并不仅仅是简单的操作,还需要对数据本身的特点进行全面的了解和分析。

在操作过程中,我发现了数据中存在的一些异常和错误,比如缺失值过多、异常值等。

对于这些问题,我需要运用一些数据处理的方法进行处理,使得数据能够更加准确和有效地进行分析。

2. 数据分析在数据清洗之后,我需要对已经清洗好的数据进行进一步的分析。

公司的投资数据非常庞大,我需要利用Python等工具进行大数据的处理和分析。

具体的分析内容包括投资决策的评估、投资项目的收益评价等。

通过对数据的统计和计算,我能够得到一些有用的结论和推断,可以帮助公司进行更加科学和合理的决策。

同时,为了更好地展示分析结果,我还需要运用数据可视化的技术,将分析结果以图表的形式呈现。

三、实习收获通过这一段时间的实习,我获得了很多宝贵的经验和知识。

以下是我在实习中的一些收获:1. 数据分析技能的提升在实习期间,我有机会将学习到的理论知识应用到实际的项目中去。

通过实际操作,我对数据分析的流程和方法有了更加深入的理解,对Python等工具的使用也更加熟练。

EDA实习报告1

EDA实习报告1

贵州师范大学学生实习报告科目:EDA实习专业: 电气工程及其自动化班级: 10电气**: ***学号: ************实验项目名称:数字电子钟的设计实验项目性质:普通试验所属课程名称:VHDL程序设计一、实验目的1 学习VHDL语言的一些基本特点。

2 掌握VHDL程序的基本结构。

3掌握VHDL程序设计方法。

4 要能够用vhdl语言读懂并编写eda程序,对eda设计的总体框架能有较好的把握,掌握各模块的调用方式。

二、实验内容和要求设计一个数字时钟,显示时(2位),分(2位),秒(2位),具体要求是:具有时分秒计数显示功能,以24小时循环计时;数码管动态显示时,分,秒;具有清零功能。

在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

三、实验主要仪器设备和材料计算机,开发环境MAX-PLUSII,ZY11EDA实验系统,VHDL语言。

四、实验方法、步骤及结果测试1、设计思路:数字钟的主体是计数器,它记录并显示接收到的秒脉冲个数,其中秒和分位60进制计数器,小时为24进制计数器,分别产生3位BCD码。

BCD码经译码,驱动后接数码显示电路。

根据实验要求,将设计分为5个主要部分,时功能模块、分功能模块、秒功能模块、扫描仪功能模块和7段LED功能模块。

在时、分、秒模块中,包括复位和预置数,其主要思路如下:秒钟的模块:设计一个60进制的计数器,以clk为其时钟信号,每60个clk后产生一个进位信号CF给分钟模块,作为分钟进程的响应信号。

秒钟模块VHDL程序见附录1:仿真波形如下:封装如下图:分钟的模块:同理于秒钟的模块,设计一个60进制的计数器,以CFM为其时钟信号,每60个CFM后产生一个进位信号CFM给小时模块,作为小时模块进程的响应信号。

分钟模块VHDL程序见附录二:仿真波形如下:封装如下图:小时的模块:为24进制计数器,在分的进位信号CFM的激发下计数,从0到23的时候产生一个信号CFH,全部清0,重新开始计时。

eda实验报告完整版

eda实验报告完整版

eda实验报告完整版EDA实验报告一、文献综述EDA,全称为Exploratory Data Analysis,是一种数据探索性分析方法。

EDA通过多种可视化工具和数据分析技术快速探索数据集的特征和结构,从而发现其中的规律和异常,确定数据的可靠性和种类。

EDA的主要目的在于对数据进行全面的分析和理解,为后续的数据处理和建模提供参考。

EDA作为数据预处理的重要步骤,在数据分析和建模中占据着重要的地位。

目前,随着数据收集、存储和分析技术的快速发展,EDA正在成为数据分析中不可缺少的部分。

在大数据时代,EDA的发展已经超越了其传统的数据探索性分析功能,成为了快速调试和优化模型的重要手段。

二、实验目的本次实验旨在掌握EDA技术方法和可视化工具,在实际数据集中进行数据预处理和探索性分析。

主要目标包括:1.掌握常用的EDA方法和可视化工具。

2.通过对实际数据集处理和分析,了解数据的特征和结构。

3.确定数据集的质量、可靠性和种类。

4.为后续的数据处理和建模提供参考。

三、实验流程1.数据集的加载和清洗本次实验选用的数据集为Iris数据集,包含了鸢尾花的三个品种(Setosa、Versicolour、Virginica)的四个特征(sepal length、sepal width、petal length、petal width)共150个样本。

由于Iris数据集已经经过处理,因此不需要进行特殊的预处理。

为了更好地探索Iris数据集,我们将其存储为dataframe格式,以方便进行数据的各类统计和可视化。

2.数据特征的可视化在数据特征的可视化中,我们使用了多种可视化工具包括:ggplot2和ggpubr。

下面是我们在R语言环境下所使用的代码。

# 加载ggplot2和ggpubrlibrary(ggplot2)library(ggpubr)#加载Iris数据集data("iris")df = iris# 1.绘制直方图hist <- ggplot(df, aes(x = Sepal.Length)) +geom_histogram(fill = "blue", alpha = .5, bins = 30) +ggtitle("Distribution of Sepal.Length")# 2.绘制密度图density <- ggplot(df, aes(x = Sepal.Width, fill = Species)) +geom_density(alpha = .5) +scale_fill_manual(values = c("#00AFBB", "#E7B800", "#FC4E07")) +ggtitle("Density plot of Sepal.Width")# 5.绘制箱线图boxplot <- ggplot(df, aes(x = Species, y = Sepal.Length, fill = Species)) + geom_boxplot() +ggtitle("Boxplot of Sepal.Length by Species")上述代码会生成6个图表,分别为直方图、密度图、散点图、热力图、箱线图和柱状图。

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告实习期间,我参与了EDA课程的设计实习,担任助教的角色。

下面是我对这段实习经历的心得体会报告。

首先,实习让我更加深入地了解了EDA课程的内容和教学方法。

在参与课程设计的过程中,我不仅与教师密切合作,还与同学们进行了充分的沟通和交流。

通过参与课程的筹备工作,我更加了解了教学的各个环节和细节,从而更好地理解了教学的目标和方法。

同时,与同学们交流互动的过程也让我对他们的学习情况和需求有了更深刻的认识,这对于我后续的教学工作有很大的帮助。

其次,实习过程中我锻炼了一些重要的教学技能和能力。

作为助教,我需要为教师提供协助,并且参与到课堂教学中。

通过观察和参与教学活动,我学习到了一些教学技巧和策略。

比如,在课堂上我要注意与学生建立联系,引导他们积极参与课堂讨论,促进他们的思考和表达。

我还学会了如何给学生提供有效的反馈和指导,帮助他们解决问题并提升学习效果。

这些技能对于一个教师来说是非常重要的,这次实习给我提供了一个实践的机会,让我能够在教学中实际运用这些技能。

此外,实习让我认识到教学工作需要具备一定的耐心和责任心。

教学是一个与人打交道的过程,每个学生都有自己的特点和需求,不同的学生可能需要不同的教学方法。

作为助教,我需要耐心地倾听和理解每个学生的问题,根据他们的需求给予相应的帮助和指导。

同时,我还要对自己的工作负责,确保教学工作的顺利进行,为学生提供高质量的教学服务。

总的来说,这段实习经历让我在教学方面获得了很多收获。

通过与教师和学生的互动,我深入了解了教学的实际操作和教学技巧。

同时,实习还让我认识到了教学工作的责任和挑战,对我以后从事教育工作有着重要的影响。

这次实习的经历将成为我以后教学生涯中的宝贵财富,我将会更加努力地提升自己的教学能力,为学生的学习提供更好的支持和帮助。

EDA实训报告

EDA实训报告

课程名称:指导教师:曹老师班级: 10电子1班姓名:余振日期:8路彩灯控制器一:实训题目************************ 二:实训内容************************ 三:实训目的************************ 四:实训过程************************ 五:实训环境************************ 六:实训总结************************ 下面就从这几个方面进行论述:ⅰ:实训项目:8路彩灯控制器的设计。

ⅱ:实训内容:1、彩灯明暗变换节拍为0.25s和0.5s,两种节拍交替运行。

2、演示花型3种:(1)从左向右顺次序亮,全亮后逆次序渐灭;(2)从中间到两边对称地渐亮,全亮后仍由中间向两边灭;(3)8路灯分两半,从左向右顺次渐亮,全亮后则全灭。

ⅲ:实训目的:1、熟练掌握模拟电路、数字逻辑电路的设计、分析、仿真及调试的方法。

2、掌握使用eda(电子设计自动化)工具设计模拟电路、数字电路的方法,了解系统设计的全过程。

3、熟练掌握multisim 2001软件的基本操作及绘制原理图和进行电路仿真的一般方法4、通过对系统电路设计与制作,进一步巩固所学的理论知识,提高分析问题和解决问题的能力。

5、通过此次实训,引导学生提高和培养自身创新能力,为后续课程的学习,毕业设计制作以及毕业后的工作打下坚实的基础。

·ⅳ:实训过程1.设计方案:总体方案设计如上图,其中振荡器产生一个时钟信号,然后控制器由这个时钟信号触发而产生已如“10000000”等的序列信号,信号通过二级管就可以控制灯的亮暗了。

序列信号规律的不同便会产生不同的花型。

彩灯控制器是以高低电平来控制彩灯的亮与灭。

如果以某种节拍按一定规律改变彩灯的输入电平值,控制才等的亮与灭,即可以按预定规则就显示一定的花型。

因此彩灯控制电路需要一个能够按一定规律输出不同高低电平编码信号的编码发生器,同时还需要编码发生器所要求的时序信号和控制信号。

(完整word版)EDA实验报告完整版

(完整word版)EDA实验报告完整版

数字系统设计基础实验报告实验名称: 1.组合电路设计___2.失序电路设计___3.计数器的设计___4.原理图设计加法器学号: ___ ********__ ____**: ___ **_______班级: __ 计科09-1班_____老师: __ ______中国矿业大学计算机学院2011年10月27日一.实验一: 组合电路的设计二.实验目的三.熟悉QuartusⅡ的VHDL文本设计流程全过程, 学习简单组合电路的设计、仿真和硬件测试。

四.实验任务任务1: 利用QuartusⅡ完成2选1多路选择器的文本编辑输入和仿真测试等步骤, 得出仿真波形。

最后在试验系统上进行硬件测试, 验证本项设计的功能。

五.任务2: 将此多路选择器看成是一个元件mux21a, 利用元件例化语句描述电路图, 并将此文件放在同一目录中。

六.对于任务中的例子分别进行编译、综合、仿真, 并对其仿真波形作出分析说明。

七.实验过程1.新建一个文件夹, 取名CNT10。

2.输入源程序。

3.文件存盘, 文件名为cnt10, 扩展名为.vhd。

八.创建工程, 按照老师要求对软件进行设置。

九.进行失序仿真, 得到仿真图形。

十.实验程序任务1:entity CNT10 ISport (a,b,s:in bit;y:out bit);end entity CNT10;architecture one of CNT10 isbeginprocess (a,b,s)if s='0' then y<=a; else y<=b;end if;end process;end architecture one;任务2:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK ISPORT (s0,s1: in STD_LOGIC;a1,a2,a3: in STD_LOGIC;outy: out STD_LOGIC );END ENTITY MUXK;ARCHITECTURE double OF MUXK ISSIGNAL tmpout,tmp:STD_LOGIC;BEGINu1: PROCESS(s0,a2,a3,tmp)BEGINIF s0='0' then tmp<=a2;else tmp<=a3;END IF ;END PROCESS u1 ;u2: PROCESS(s1,a1,tmp,tmpout)BEGINIF s1='0' then tmpout<=a1;else tmpout<=tmp; END IF ;END PROCESS u2 ;outy<=tmpout;END ARCHITECTURE double;十一.实验结果任务1:任务2:十二.实验体会在课堂上对于“EDA与VHDL”这门课的用处及用法一直一知半解, 课上对于一些编程也是学的很模糊, 因为学习过模拟电路与数字电路, 所以总认为器件仿真要用电脑模拟器件或者直接用实物, 但是通过本次实验对QuartusⅡ的初步接触, 了解了其功能的强大。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本次 EDA 实验的主要目的是熟悉电子设计自动化(EDA)软件的使用,掌握数字电路的设计、仿真和实现流程,提高对数字逻辑电路的理解和设计能力。

二、实验设备与环境1、计算机一台2、 EDA 软件(如 Quartus II 等)三、实验原理1、数字逻辑基础数字电路中的基本逻辑门包括与门、或门、非门、与非门、或非门等。

通过这些基本逻辑门的组合,可以实现各种复杂的数字逻辑功能。

2、组合逻辑电路组合逻辑电路的输出仅取决于当前的输入,不存在存储单元。

常见的组合逻辑电路有加法器、编码器、译码器等。

3、时序逻辑电路时序逻辑电路的输出不仅取决于当前的输入,还与电路的过去状态有关。

常见的时序逻辑电路有计数器、寄存器等。

四、实验内容1、设计一个简单的加法器使用基本逻辑门设计一个两位加法器,输入为两个两位的二进制数A 和 B,输出为它们的和 S 以及进位 C。

2、设计一个 4 位计数器实现一个 4 位的计数器,能够在时钟信号的上升沿进行计数,计数范围为 0 到 15。

3、设计一个数码管显示译码器将输入的 4 位二进制数转换为数码管的 7 段显示编码,实现数字 0 到 9 的显示。

五、实验步骤1、加法器设计(1)打开 EDA 软件,创建一个新的项目。

(2)使用原理图输入方式,绘制出加法器的逻辑电路图,包括两个半加器和一个或门。

(3)对设计进行编译,检查是否存在语法错误。

(4)创建仿真文件,设置输入信号的激励,进行功能仿真,观察输出结果是否符合预期。

2、计数器设计(1)在项目中新建一个模块,使用 Verilog HDL 语言描述计数器的功能。

(2)编写测试代码,对计数器进行仿真验证。

(3)将计数器下载到硬件开发板上,通过观察实际的输出结果验证其功能。

3、数码管显示译码器设计(1)同样使用原理图输入方式,设计数码管显示译码器的逻辑电路。

(2)进行编译和仿真,确保译码器的功能正确。

(3)将译码器与计数器连接起来,实现数码管的动态显示。

EDA实习报告

EDA实习报告

篇一:eda实习报告中国地质大学(武汉)实习名称:专业:班级序号:姓名:指导教师:实验一 3/8 译码器的实现一.实验目的1.学习quartusⅱ的基本操作;2.熟悉教学实验箱的使用;3.设计一个3/8 译码器;4.初步掌握vhdl语言和原理图的设计输入,编译,仿真和调试过程;二.实验说明.本次实验要求应用vhdl语言实现一个3/8 译码器。

3/8 译码器的逻辑功能如下:本实验要求使用vhdl语言描述3/8译码器,并在实验平台上面实现这个译码器。

描述的时候要注意vhdl语言的结构和语法,并熟悉quartusⅱ的文本编辑器的使用方法。

尝试使用不同的vhdl语言描述语句实现3/8译码器,并查看其rtl结构区别,理解不同描述方法对综合结果的影响。

将程序下载到实验箱上分别用按键和led作为输入和输出对结果进行验证,进一步熟悉所用eda实验箱系统。

所用器件eda实验箱、ep1k10tc100-3器件。

三.实验步骤按照教学课件《quartus ii 使用方法》,学习quartusⅱ软件的使用方法:1.在windows 界面双击quartusⅱ图标进入quartusⅱ环境;2.单击file 菜单下的new project wizard: introduction 按照向导里面的介绍新建一个工程并把它保存到自己的路径下面。

)3.单击file 菜单下的new,选择vhdl file,后单击ok,就能创建一个后缀名为.vhd (*.bdf)的文本(原理图)文件。

此vhd文件名必须与设计实体名相同。

另外,如果已经有设计文件存在,可以按file 菜单里面的open 来选择你的文件。

4. 输入完成后检查并保存,编译。

5. 改错并重新编译;6. 建立仿真波形文件并进行仿真。

单击 file 菜单下的 new,选择 vector waveformfile,单击 ok,创建一个后缀名为*.vwf 的仿真波形文件,按照课件上的方法编辑输入波形,保存,进行仿真,验证仿真结果是否正确;7. 选择器件及分配引脚,重新编译;8. 根据引脚分配在试验箱上进行连线,使用 led 进行显示;9. 程序下载,观察实验结果并记录;四.实验要求1.用vhdl语言编写3/8译码器;2.编写3/8译码器模块的源程序;3.在quartusii 平台上仿真;4.在实验板上面实现这个3/8译码器。

基于eda的实训心得_eda实训报告怎么写(精选17篇)

基于eda的实训心得_eda实训报告怎么写(精选17篇)

基于eda的实训心得_eda实训报告怎么写(精选17篇)基于eda的实训心得_eda实训报告怎么写篇1不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。

我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。

虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。

初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。

当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。

实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。

它确实很方便实用。

虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。

电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。

实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。

写到这,我想起前几天一位留学回国的姐说过的话:“你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习”。

之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。

大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。

eda实习报告

eda实习报告

eda实习报告《eda 实习报告》在大学的学习生涯中,实习是一个重要的环节,它不仅能够让我们将所学的理论知识应用到实际工作中,还能让我们提前适应职场环境,积累宝贵的经验。

我有幸在_____公司进行了为期_____的 eda 实习,这次实习对我来说是一次难得的成长机会。

一、实习单位及岗位介绍我实习的_____公司是一家在电子设计自动化领域具有较高知名度的企业,其产品涵盖了从芯片设计到系统集成的多个环节。

我所在的部门主要负责_____的研发和优化工作。

我的岗位是 eda 工程师助理,主要职责是协助正式员工完成一些基础的设计和验证工作。

具体包括根据给定的规格要求,使用相关的 eda 工具进行电路设计和仿真;对设计结果进行初步的分析和检查,找出可能存在的问题并提出改进建议;整理和归档相关的设计文档和数据等。

二、实习内容及成果在实习期间,我参与了多个项目,以下是其中的几个典型案例:1、项目一:_____电路设计在这个项目中,我负责_____部分的电路设计。

首先,我深入研究了项目的需求和规格,确定了设计的总体方案。

然后,使用_____等eda 工具进行了原理图的绘制和 PCB 布局。

在设计过程中,遇到了_____等问题。

通过查阅资料、请教同事和不断尝试,最终解决了这些问题,成功完成了电路设计,并通过了初步的功能测试。

2、项目二:_____算法优化这个项目的主要目标是优化现有的_____算法,以提高其性能和效率。

我参与了算法的分析和改进工作,通过对算法的流程和关键步骤进行深入研究,提出了一些优化建议,如_____。

经过多次实验和调试,成功地将算法的运行时间缩短了_____%,提高了系统的整体性能。

通过参与这些项目,我不仅提高了自己的技术水平,还培养了团队合作精神和解决问题的能力。

同时,我也为项目的顺利推进做出了一定的贡献,得到了同事和上级的认可。

三、实习收获与体会1、技术能力的提升通过实际操作各种eda 工具和参与项目开发,我对电路设计、仿真、验证等方面的技术有了更深入的理解和掌握。

EDA实习报告

EDA实习报告

目录引言(1)EDA简介(2)EDA的设计流程第一章实训目的第二章实训内容一、EDA 开发软件Max+plus II 或Quartus II1.1基本原理1.2条件要求1.3主要内容1.4实践步骤与结果分析(一)设计原理图或VHDL源程序1) 原理图2)VHDL源程序(二)器件及管脚逻分配图1)调试编译与仿真波形2)时序分析图1.5项目一的结论二、4位全加器设计2.1基本原理2.2条件要求2.3主要内容2.4方案及实现方法2.5实践步骤与结果分析(一)设计1 位全加器1) 1 位全加器原理图2)1位全加器仿真波形(二)四位全加器设计1)四位全加器原理图2)器件及管脚逻分配图3)调试编译与仿真波形4)时序分析图(三)项目二的结论三、8 线-3 线优先编码器3.1基本原理1、管脚2、真值表3.2条件要求3.3主要内容3.4方案及实现方法3.5实践步骤与结果分析(一)原理图与VHDL程序1) 3-8译码器的原理图2)VHDL程序(二)器件及管脚逻分配图(三)调试编译与仿真波形1、调试编译2、仿真波形(四)时序分析图(五)项目三的结论四、10 进制计数器设计4.1基本原理1、管脚2、真值表4.2条件要求4.3主要内容4.4方案及实现方法4.5实践步骤与结果分析(一)原理图与VHDL程序1) 10 进制计数器2)VHDL程序(二)器件及管脚逻分配图(三)调试编译与仿真波形1、调试编译2、仿真波形(四)时序分析图(五)项目四的结论五、8 位循环移位寄存器5.1基本原理1、管脚2、真值表5.2条件要求5.3主要内容5.4方案及实现方法5.5实践步骤与结果分析(一)原理图与VHDL程序1) 8 位循环移位寄存器2)VHDL程序(二)器件及管脚逻分配图(三)调试编译与仿真波形1、调试编译2、仿真波形(四)时序分析图(五)项目三的结论第三章结论引言(1)EDA简介电子技术的迅猛发展,高新技术日新月异。

传统的电子技术设计方法,以不能帮助我们更好的、高效的完成设计任务。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Added Component: Designator=C1(RAD-0.3) Added Component: Designator=C2(RAD-0.3) Added Component: Designator=D1(DIP2)Added Component: Designator=DS?(DSO-F2/D6.1) Added Component: Designator=DS?(LED-1) Added Component: Designator=L1(INDC1005-0402) Added Component: Designator=P1(HDR1X3) Added Component: Designator=Q1(BCY-W3/B.8) Added Component: Designator=Q2(BCY-W3/B.8) Added Component: Designator=R1(AXIAL-0.3) Added Component: Designator=R2(AXIAL-0.3) Added Component: Designator=ui(DIP8)Added Pin To Net: NetName=GND Pin=C1-1 Added Pin To Net: NetName=GND Pin=C2-1 Added Pin To Net: NetName=GND Pin=R1-1 Added Pin To Net: NetName=GND Pin=R2-1 Added Pin To Net: NetName=GND Pin=ui-3Added Net: Name=GNDAdded Pin To Net: NetName=NetC1_2 Pin=C1-2 Added Pin To Net: NetName=NetC1_2 Pin=L1-1 Added Pin To Net: NetName=NetC1_2 Pin=P1-3 Added Net: Name=NetC1_2Added Pin To Net: NetName=NetC2_2 Pin=C2-2Added Pin To Net: NetName=NetC2_2 Pin=D1-2Added Pin To Net: NetName=NetC2_2 Pin=DS?-1 Added Net: Name=NetC2_2Added Pin To Net: NetName=NetD1_1 Pin=D1-1 Added Pin To Net: NetName=NetD1_1 Pin=L1-2 Added Pin To Net: NetName=NetD1_1 Pin=Q1-1 Added Net: Name=NetD1_1Added Pin To Net: NetName=NetDS?_1 Pin=DS?-1 Added Pin To Net: NetName=NetDS?_1 Pin=DS?-2 Added Net: Name=NetDS?_1Added Pin To Net: NetName=NetDS?_2 Pin=DS?-2 Added Pin To Net: NetName=NetDS?_2 Pin=Q2-1 Added Net: Name=NetDS?_2Added Pin To Net: NetName=NetP1_1 Pin=P1-1 Added Pin To Net: NetName=NetP1_1 Pin=ui-2 Added Net: Name=NetP1_1Added Pin To Net: NetName=NetP1_2 Pin=P1-2 Added Pin To Net: NetName=NetP1_2 Pin=ui-1 Added Net: Name=NetP1_2Added Pin To Net: NetName=NetQ1_2 Pin=Q1-2 Added Pin To Net: NetName=NetQ1_2 Pin=ui-4 Added Net: Name=NetQ1_2Added Pin To Net: NetName=NetQ1_3 Pin=Q1-3 Added Pin To Net: NetName=NetQ1_3 Pin=R2-2 Added Net: Name=NetQ1_3Added Pin To Net: NetName=NetQ2_2 Pin=Q2-2 Added Pin To Net: NetName=NetQ2_2 Pin=ui-6 Added Net: Name=NetQ2_2Added Pin To Net: NetName=NetQ2_3 Pin=Q2-3 Added Pin To Net: NetName=NetQ2_3 Pin=R1-2 Added Net: Name=NetQ2_3Added Class: Name=SheetMAX16834Added Room: Name=SheetMAX16834Field=Description,String,Description,100|Field=Designator,String,Designator,100|Field=Footprint ,String,Footprint,100|Field=LibRef,String,LibRef,100|Field=Quantity,String,Quantity,100|ReportF ield=ProjectFileName,PCB_Project16834.PrjPCB|ReportField=ProjectFullPath,D:\宋日成\MAX16834\PCB_Project16834.PrjPCB|ReportField=Title,Bill of Materials For PCB Document [PCB16834.PcbDoc]|ReportField=TotalQuantity,12|ReportField=ReportTime,15:44:35|ReportFiel d=ReportDate,2012-11-22|ReportField=ReportDateTime,2012-11-2215:44:35|ReportField=OutputName,Bill of Materials|ReportField=OutputType,BOM_PartType|ReportField=GeneratorName,ReportCompone nts|ReportField=GeneratorDescription,ComponentReports|ReportField=V ariantName,None|ReportField=DataSourceFileName,PCB16834.PcbDoc|R eportField=DataSourceFullPath,D:\宋日成\MAX16834\PCB16834.PcbDocCapacitor|C1|RAD-0.3|Cap|1Capacitor|C2|RAD-0.3|Cap|1Default Diode|D1|DIP2|Diode|1Inductor|L1|INDC1005-0402|Inductor|1Typical RED GaAs LED|LED1|LED-1|LED1|1Typical RED, GREEN, YELLOW, AMBER GaAs LED|LED2|DSO-F2/D6.1|LED2|1Header, 3-Pin|P1|HDR1X3|Header 3|1N-Channel MOSFET|Q1|BCY-W3/B.8|MOSFET-N|1N-Channel MOSFET|Q2|BCY-W3/B.8|MOSFET-N|1Resistor|R1|AXIAL-0.3|Res1|1Resistor|R2|AXIAL-0.3|Res1|1|ui|DIP8|Component_1_1|1Field=Description,String,Description,100|Field=Designator,String,Designator,100|Field=Footprint ,String,Footprint,100|Field=LibRef,String,LibRef,100|Field=Quantity,String,Quantity,100|ReportF ield=ProjectFileName,PCB_Project1.PrjPCB|ReportField=ProjectFullPath,D:\宋日成\PP\PCB_Project1.PrjPCB|ReportField=Title,Bill of Materials For PCB Document [SOSPCB1.PcbDoc]|ReportField=TotalQuantity,18|ReportField=ReportTime,8:17:17|ReportField =ReportDate,2012-11-22|ReportField=ReportDateTime,2012-11-228:17:17|ReportField=OutputName,Bill of Materials|ReportField=OutputType,BOM_PartType|ReportField=GeneratorName,ReportCompone nts|ReportField=GeneratorDescription,ComponentReports|ReportField=V ariantName,None|ReportField=DataSourceFileName,SOSPCB1.PcbDoc|R eportField=DataSourceFullPath,D:\宋日成\PP\SOSPCB1.PcbDoc||DIP18|Component_1|1Capacitor|C1|RAD-0.3|Cap|1Capacitor|C2|RAD-0.3|Cap|1Capacitor|C3|RAD-0.3|Cap|1Capacitor|C4|RAD-0.3|Cap|1Capacitor|C5|RAD-0.3|Cap|1Capacitor|C6|RAD-0.3|Cap|1Capacitor|C7|RAD-0.3|Cap|1Capacitor|C8|RAD-0.3|Cap|1Schottky Diode|D1|DSO-C2/X2.3|D Schottky|1Schottky Diode|D2|DSO-C2/X2.3|D Schottky|1Inductor|L1|INDC1005-0402|Inductor|1Inductor|L2|INDC1005-0402|Inductor|1P-Channel MOSFET|Q1|BCY-W3/B.8|MOSFET-P|1 P-Channel MOSFET|Q2|BCY-W3/B.8|MOSFET-P|1 P-Channel MOSFET|Q3|BCY-W3/B.8|MOSFET-P|1 P-Channel MOSFET|Q4|BCY-W3/B.8|MOSFET-P|1 Resistor|R1|AXIAL-0.4|Res2|1Added Component: Designator=A1(PIN1)Added Component: Designator=C1(RAD-0.3) Added Component: Designator=C2(RAD-0.3) Added Component: Designator=C3(RAD-0.3) Added Component: Designator=C4(RAD-0.3) Added Component: Designator=C5(RAD-0.3) Added Component: Designator=C6(RAD-0.3) Added Component: Designator=C7(RAD-0.3) Added Component: Designator=L1(INDC1005-0402) Added Component: Designator=L2(INDC1005-0402) Added Component: Designator=P1(HDR1X2H) Added Component: Designator=P2(HDR1X2H) Added Component: Designator=Y1(BCY-W2/D3.1) Added Pin To Net: NetName=GND Pin=C1-1 Added Pin To Net: NetName=GND Pin=C2-1 Added Pin To Net: NetName=GND Pin=C5-2 Added Pin To Net: NetName=GND Pin=C7-1 Added Pin To Net: NetName=GND Pin=P1-1 Added Net: Name=GNDAdded Pin To Net: NetName=Net*_1 Pin=Y1-1 Added Net: Name=Net*_1Added Pin To Net: NetName=Net*_2 Pin=C3-2 Added Pin To Net: NetName=Net*_2 Pin=L2-2Added Net: Name=Net*_2Added Pin To Net: NetName=Net*_5 Pin=P2-2Added Net: Name=Net*_5Added Pin To Net: NetName=Net*_6 Pin=P2-1Added Net: Name=Net*_6Added Pin To Net: NetName=Net*_7 Pin=C4-2Added Pin To Net: NetName=Net*_7 Pin=C5-1Added Pin To Net: NetName=Net*_7 Pin=C6-2Added Pin To Net: NetName=Net*_7 Pin=C7-2Added Pin To Net: NetName=Net*_7 Pin=L2-1Added Pin To Net: NetName=Net*_7 Pin=P1-2Added Net: Name=Net*_7Added Pin To Net: NetName=Net*_8 Pin=Y1-2Added Net: Name=Net*_8Added Pin To Net: NetName=NetA1_1 Pin=A1-1Added Pin To Net: NetName=NetA1_1 Pin=C1-2Added Pin To Net: NetName=NetA1_1 Pin=L1-1Added Net: Name=NetA1_1Added Pin To Net: NetName=NetC2_2 Pin=C2-2Added Pin To Net: NetName=NetC2_2 Pin=C3-1Added Pin To Net: NetName=NetC2_2 Pin=L1-2Added Net: Name=NetC2_2Added Pin To Net: NetName=NetC4_1 Pin=C4-1Added Pin To Net: NetName=NetC4_1 Pin=C6-1Added Net: Name=NetC4_1Added Class: Name=max1Added Room: Name=max1Field=Description,String,Description,100|Field=Designator,String,Designator,100|Field=Footprint ,String,Footprint,100|Field=LibRef,String,LibRef,100|Field=Quantity,String,Quantity,100|ReportF ield=ProjectFileName,PCB_Project1.PrjPCB|ReportField=ProjectFullPath,D:\宋日成\PP\PCB_Project1.PrjPCB|ReportField=Title,Bill of Materials For PCB Document [PCB1max.PcbDoc]|ReportField=TotalQuantity,14|ReportField=ReportTime,9:41:34|ReportField =ReportDate,2012-11-22|ReportField=ReportDateTime,2012-11-229:41:34|ReportField=OutputName,Bill of Materials|ReportField=OutputType,BOM_PartType|ReportField=GeneratorName,ReportCompone nts|ReportField=GeneratorDescription,ComponentReports|ReportField=V ariantName,None|ReportField=DataSourceFileName,PCB1max.PcbDoc|R eportField=DataSourceFullPath,D:\宋日成\MAX7044\PCB1max.PcbDoc||DIP8|Component_1|1Generic Antenna|A1|PIN1|Antenna|1Capacitor|C1|RAD-0.3|Cap|1Capacitor|C2|RAD-0.3|Cap|1Capacitor|C3|RAD-0.3|Cap|1Capacitor|C4|RAD-0.3|Cap|1Capacitor|C5|RAD-0.3|Cap|1Capacitor|C6|RAD-0.3|Cap|1Capacitor|C7|RAD-0.3|Cap|1Inductor|L1|INDC1005-0402|Inductor|1Inductor|L2|INDC1005-0402|Inductor|1Header, 2-Pin, Right Angle|P1|HDR1X2H|Header 2H|1 Header, 2-Pin, Right Angle|P2|HDR1X2H|Header 2H|1 Crystal Oscillator|Y1|BCY-W2/D3.1|XTAL|1Added Component: Designator=C1(CC2012-0805) Added Component: Designator=C2(CC2012-0805) Added Component: Designator=C3(CC2012-0805)Added Component: Designator=Q1(SO-G3/C2.5) Added Component: Designator=R1(AXIAL-0.3) Added Component: Designator=R2(AXIAL-0.3) Added Component: Designator=R3(AXIAL-0.3) Added Component: Designator=R4(AXIAL-0.3) Added Component: Designator=R5(AXIAL-0.3) Added Component: Designator=R6(AXIAL-0.3) Added Component: Designator=R7(AXIAL-0.3) Added Component: Designator=VS(DIP2) Added Pin To Net: NetName=GND Pin=C3-2 Added Pin To Net: NetName=GND Pin=R2-1 Added Pin To Net: NetName=GND Pin=R6-1 Added Pin To Net: NetName=GND Pin=R7-1 Added Pin To Net: NetName=GND Pin=VS-2 Added Net: Name=GNDAdded Pin To Net: NetName=NetC1_1 Pin=C1-1 Added Pin To Net: NetName=NetC1_1 Pin=Q1-2 Added Pin To Net: NetName=NetC1_1 Pin=R2-2 Added Pin To Net: NetName=NetC1_1 Pin=R3-1 Added Net: Name=NetC1_1Added Pin To Net: NetName=NetC1_2 Pin=C1-2 Added Pin To Net: NetName=NetC1_2 Pin=R1-2 Added Net: Name=NetC1_2Added Pin To Net: NetName=NetC2_1 Pin=C2-1 Added Pin To Net: NetName=NetC2_1 Pin=Q1-1 Added Pin To Net: NetName=NetC2_1 Pin=R4-1 Added Net: Name=NetC2_1Added Pin To Net: NetName=NetC2_2 Pin=C2-2 Added Pin To Net: NetName=NetC2_2 Pin=R7-2 Added Net: Name=NetC2_2Added Pin To Net: NetName=NetC3_1 Pin=C3-1 Added Pin To Net: NetName=NetC3_1 Pin=R5-1 Added Pin To Net: NetName=NetC3_1 Pin=R6-2 Added Net: Name=NetC3_1Added Pin To Net: NetName=NetQ1_3 Pin=Q1-3 Added Pin To Net: NetName=NetQ1_3 Pin=R5-2 Added Net: Name=NetQ1_3Added Pin To Net: NetName=NetR1_1 Pin=R1-1 Added Pin To Net: NetName=NetR1_1 Pin=VS-1 Added Net: Name=NetR1_1Added Pin To Net: NetName=VCC Pin=R3-2 Added Pin To Net: NetName=VCC Pin=R4-2 Added Net: Name=VCCAdded Class: Name=Sheetkao1Added Room: Name=Sheetkao1Field=Description,String,Description,100|Field=Designator,String,Designator,100|Field=Footprint ,String,Footprint,100|Field=LibRef,String,LibRef,100|Field=Quantity,String,Quantity,100|ReportF ield=ProjectFileName,PCB_Project1.PrjPCB|ReportField=ProjectFullPath,D:\宋日成\PP\PCB_Project1.PrjPCB|ReportField=Title,Bill of Materials For PCB Document [PCBkao1.PcbDoc]|ReportField=TotalQuantity,12|ReportField=ReportTime,10:58:45|ReportField =ReportDate,2012-11-22|ReportField=ReportDateTime,2012-11-2210:58:45|ReportField=OutputName,Bill of Materials|ReportField=OutputType,BOM_PartType|ReportField=GeneratorName,ReportCompone nts|ReportField=GeneratorDescription,ComponentReports|ReportField=V ariantName,None|ReportField=DataSourceFileName,PCBkao1.PcbDoc|Re portField=DataSourceFullPath,D:\宋日成\KAO\PCBkao1.PcbDocPolarized Capacitor (Surface Mount)|C1|CC2012-0805|Cap Pol3|1Polarized Capacitor (Surface Mount)|C2|CC2012-0805|Cap Pol3|1Polarized Capacitor (Surface Mount)|C3|CC2012-0805|Cap Pol3|1NPN Bipolar Transistor|Q1|SO-G3/C2.5|QNPN|1Resistor|R1|AXIAL-0.3|Res1|1Resistor|R2|AXIAL-0.3|Res1|1Resistor|R3|AXIAL-0.3|Res1|1Resistor|R4|AXIAL-0.3|Res1|1Resistor|R5|AXIAL-0.3|Res1|1Resistor|R6|AXIAL-0.3|Res1|1Resistor|R7|AXIAL-0.3|Res1|1Servo Motor|VS|DIP2|Motor Servo|1Size of board 2.805 x 2.115 inchComponents on board 21Layer Route Pads Tracks Fills Arcs Text ------------------------------------------------------------------------Top Layer 3 1534 0 168 0 Bottom Layer 0 1843 0 200 0 Mechanical 1 0 18 0 3 0 Top Overlay 0 108 0 6 42 Keep-Out Layer 0 5 0 0 0 Multi-Layer 53 0 0 0 0 ------------------------------------------------------------------------Total 56 3508 0 377 42Layer Pair V ias----------------------------------------Top Layer - Bottom Layer 1----------------------------------------Total 1Non-Plated Hole Size Pads V ias--------------------------------------------------------------------------------Total 0 0Plated Hole Size Pads V ias----------------------------------------0mil (0mm) 3 025mil (0.635mm) 8 027.559mil (0.7mm) 12 028mil (0.7112mm) 0 131.496mil (0.8mm) 6 033.465mil (0.85mm) 16 035.433mil (0.9mm) 8 035.433mil (0.9mm) 3 0----------------------------------------Total 56 1Top Layer Annular Ring Size Count----------------------------------19.685mil (0.5mm) 1221.654mil (0.55mm) 1622mil (0.5588mm) 123.622mil (0.6mm) 1131.496mil (0.8mm) 675mil (1.905mm) 8----------------------------------Total 54Mid Layer Annular Ring Size Count----------------------------------19.685mil (0.5mm) 12 21.654mil (0.55mm) 1622mil (0.5588mm) 1 23.622mil (0.6mm) 11 31.496mil (0.8mm) 675mil (1.905mm) 8----------------------------------Total 54Bottom Layer Annular Ring Size Count ----------------------------------19.685mil (0.5mm) 12 21.654mil (0.55mm) 1622mil (0.5588mm) 1 23.622mil (0.6mm) 11 31.496mil (0.8mm) 675mil (1.905mm) 8----------------------------------Total 54Pad Solder Mask Count----------------------------------4mil (0.1016mm) 56----------------------------------Total 56Pad Paste Mask Count----------------------------------0mil (0mm) 56----------------------------------Total 56 Pad Pwr/Gnd Expansion Count----------------------------------20mil (0.508mm) 56 ----------------------------------Total 56Pad Relief Conductor Width Count ----------------------------------10mil (0.254mm) 56 ----------------------------------Total 56Pad Relief Air Gap Count----------------------------------10mil (0.254mm) 56 ----------------------------------Total 56Pad Relief Entries Count----------------------------------4 56 ----------------------------------Total 56V ia Solder Mask Count ----------------------------------4mil (0.1016mm) 1 ----------------------------------Total 1V ia Pwr/Gnd Expansion Count ----------------------------------20mil (0.508mm) 1 ----------------------------------Total 1Track Width Count ----------------------------------1mil (0.0254mm) 185mil (0.127mm) 18 7.874mil (0.2mm) 85 8mil (0.2032mm) 3200 10mil (0.254mm) 187 ----------------------------------Total 3508Arc Line Width Count ----------------------------------0.984mil (0.025mm) 3 5mil (0.127mm) 3 7.874mil (0.2mm) 1 8mil (0.2032mm) 368 9.842mil (0.25mm) 1 10mil (0.254mm) 1 ----------------------------------Total 377Arc Radius Count ----------------------------------4.921mil (0.125mm) 1 19mil (0.4826mm) 164 25mil (0.635mm) 2 37.622mil (0.9556mm) 41 39mil (0.9906mm) 50 41.559mil (1.0556mm) 54 43.528mil (1.1056mm) 28 43.528mil (1.1056mm) 7 45.496mil (1.1556mm) 24 57.087mil (1.45mm) 6 ----------------------------------Total 377Arc Degrees Count ----------------------------------6 1 8 2 10 113 414 115 518 519 620 421 230 231 132 233 334 135 138 139 240 141 2 45 153 49 1 53 2 56 159 360 361 462 163 264 165 270 371 2 81 1 83 1 86 189 190 23 92 2 98 2 101 1 105 2 108 4 109 3 110 2 120 1 123 2 124 2 125 3143 1 150 6 151 1 153 8 154 2 155 1 158 1 170 1 172 1 176 1 180 17 195 2 198 3 200 1 210 1 213 1 218 2 229 1 239 1 240 3 243 2 250 1 263 1 285 1 288 4 289 1 290 2 292 2 300 1 360 28 ----------------------------------Total 377Text Height Count ----------------------------------60mil (1.524mm) 42 ----------------------------------Total 42Text Width Count ----------------------------------10mil (0.254mm) 42----------------------------------Total 42Net Track Width Count----------------------------------10mil (0.254mm) 17----------------------------------Total 17Net Via Size Count----------------------------------50mil (1.27mm) 17----------------------------------Total 17Routing Information----------------------------------Routing completion : 100.00% Connections : 33Connections routed : 33Connections remaining : 0Added Component: Designator=C1(RAD-0.3) Added Component: Designator=C2(RAD-0.3) Added Component: Designator=C3(RAD-0.3) Added Component: Designator=C4(RAD-0.3) Added Component: Designator=C5(RAD-0.3) Added Component: Designator=C6(RAD-0.3) Added Component: Designator=D1(LED-1) Added Component: Designator=D2(LED-1) Added Component: Designator=LED1(LED-1) Added Component: Designator=P?(HDR1X3) Added Component: Designator=Q1(SO-G3/C2.5) Added Component: Designator=R1(AXIAL-0.4) Added Component: Designator=R2(AXIAL-0.4) Added Component: Designator=R3(AXIAL-0.4) Added Component: Designator=R4(AXIAL-0.4) Added Component: Designator=R5(AXIAL-0.4) Added Component: Designator=R6(AXIAL-0.4) Added Component: Designator=R7(AXIAL-0.4) Added Component: Designator=U1(DIP-8)Added Component: Designator=U2(DIP8)Added Component: Designator=VR1(AXIAL-0.6) Added Pin To Net: NetName=GND Pin=C1-2 Added Pin To Net: NetName=GND Pin=C4-1 Added Pin To Net: NetName=GND Pin=C5-2 Added Pin To Net: NetName=GND Pin=C6-1 Added Pin To Net: NetName=GND Pin=D2-1 Added Pin To Net: NetName=GND Pin=P?-3 Added Pin To Net: NetName=GND Pin=Q1-3 Added Pin To Net: NetName=GND Pin=U1-7 Added Pin To Net: NetName=GND Pin=U2-3 Added Net: Name=GNDAdded Pin To Net: NetName=NetC1_1 Pin=C1-1 Added Pin To Net: NetName=NetC1_1 Pin=R1-1 Added Pin To Net: NetName=NetC1_1 Pin=R2-2 Added Net: Name=NetC1_1Added Pin To Net: NetName=NetC2_1 Pin=C2-1 Added Pin To Net: NetName=NetC2_1 Pin=R3-1 Added Net: Name=NetC2_1Added Pin To Net: NetName=NetC2_2 Pin=C2-2 Added Pin To Net: NetName=NetC2_2 Pin=R5-1 Added Pin To Net: NetName=NetC2_2 Pin=U2-2 Added Net: Name=NetC2_2Added Pin To Net: NetName=NetC3_1 Pin=C3-1 Added Pin To Net: NetName=NetC3_1 Pin=R5-2 Added Pin To Net: NetName=NetC3_1 Pin=U2-6 Added Net: Name=NetC3_1Added Pin To Net: NetName=NetC3_2 Pin=C3-2 Added Pin To Net: NetName=NetC3_2 Pin=U1-3 Added Net: Name=NetC3_2Added Pin To Net: NetName=NetC4_2 Pin=C4-2 Added Pin To Net: NetName=NetC4_2 Pin=R6-2 Added Pin To Net: NetName=NetC4_2 Pin=U1-6 Added Net: Name=NetC4_2Added Pin To Net: NetName=NetC5_1 Pin=C5-1 Added Pin To Net: NetName=NetC5_1 Pin=U1-2 Added Net: Name=NetC5_1Added Pin To Net: NetName=NetC6_2 Pin=C6-2 Added Pin To Net: NetName=NetC6_2 Pin=U1-1 Added Net: Name=NetC6_2Added Pin To Net: NetName=NetD1_1 Pin=D1-1 Added Pin To Net: NetName=NetD1_1 Pin=VR1-2 Added Net: Name=NetD1_1Added Pin To Net: NetName=NetD1_2 Pin=D1-2Added Pin To Net: NetName=NetD1_2 Pin=Q1-1Added Net: Name=NetD1_2Added Pin To Net: NetName=NetD2_2 Pin=D2-2Added Pin To Net: NetName=NetD2_2 Pin=R2-1Added Pin To Net: NetName=NetD2_2 Pin=R3-2Added Net: Name=NetD2_2Added Pin To Net: NetName=NetLED1_1 Pin=LED1-1Added Pin To Net: NetName=NetLED1_1 Pin=R7-1Added Net: Name=NetLED1_1Added Pin To Net: NetName=NetLED1_2 Pin=LED1-2Added Pin To Net: NetName=NetLED1_2 Pin=P?-2Added Pin To Net: NetName=NetLED1_2 Pin=U1-8Added Net: Name=NetLED1_2Added Pin To Net: NetName=NetP?_1 Pin=P?-1Added Pin To Net: NetName=NetP?_1 Pin=R1-2Added Pin To Net: NetName=NetP?_1 Pin=R7-2Added Pin To Net: NetName=NetP?_1 Pin=U1-4Added Pin To Net: NetName=NetP?_1 Pin=VR1-1Added Net: Name=NetP?_1Added Pin To Net: NetName=NetR4_2 Pin=R4-2Added Pin To Net: NetName=NetR4_2 Pin=R6-1Added Pin To Net: NetName=NetR4_2 Pin=U1-5Added Net: Name=NetR4_2Added Pin To Net: NetName=VCC Pin=U2-7Added Net: Name=VCCAdded Class: Name=宋日成Added Room: Name=宋日成实习心得:在老师的帮助下,通过本次的实习我进一步熟悉了原理图编辑器的功能,掌握了绘制原理图的方法和印刷电路板PCB的绘制步骤以及在绘制过程之中应该注意的一些技巧方法(比如焊盘、导线的尺寸长短等)。

相关文档
最新文档