《数字系统设计I》补充作业(20140317)
数字逻辑与数字系统设计习题参考答案
(4)(0.785)10=(0.011110000101)8421BCD
1.9
(1)(106)10=(1101010)2原码=反码=补码=01101010
(2)(-98)10=(-1100010)2原码=11100010
不考虑无关项,化简后的表达式:
F=
按考虑无关项化简结果绘制的逻辑电路习题4.10图(a)所示:
习题4.10图(a)
按不考虑无关项化简结果绘制的逻辑电路如习题4.10图(b)所示
习题4.10图(b)
4.11解:这是一个优先编码器的问题,设特快为A,直快为B,慢车为C,没有开车要求,输出为0,若A要求开车则输出,1,B要求开车输出为2,C要求开车输出3,根据A-B-C的优先顺序列功能表如下:
4.6解:根据题意:F= ,所以,可绘制电路如习题4.6图所示
习题4.6图
4.7解:根据题意:F= ,所以,可绘制电路如习题4.7图所示
习题4.7图
4.8解:
习题4.8图
4.9解:根据题意,三个变量有两个为1的卡诺图如习题4.9图(a)所示:
习题4.9图(a)
由此可列出逻辑表达式为:F= ,根据逻辑表达式可绘制逻辑电路习题4.9图(b)所示:
输入
输出
A
B
C
T1
T0
0
0
0
0
0
0
0
1
1
1
0
1
0
1
0
0
1
1
1
0
1
0
0
0
1
1
0
数字电路与系统设计课后习题答案
将下列各式写成按权展开式:()10=3×102+5×101+2×100+6×10-1()2=1×22+1×20+1×2-1+1×2-3()8=5×81+54×80+6×8-1()16=1×162+3×161+10×160+4×16-1+15×16-2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。
解:略二进制数00000000~和0000000000~11分别可以代表多少个数?解:分别代表28=256和210=1024个数。
将下列个数分别转换成十进制数:(00)2,(1750)8,(3E8)16解:(00)2=(1000)10(1750)8=(1000)10(3E8)16=(1000)10将下列各数分别转换为二进制数:(210)8,(136)10,(88)16解:结果都为:()2将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16解:结果都为(77)8将下列个数分别转换成十六进制数:()2,(377)8,(255)10解:结果都为(FF)16转换下列各数,要求转换后保持原精度:解:()10=()10——小数点后至少取10位(0010 1011 0010)2421BCD=()2()余3循环BCD码=()2用下列代码表示(123)10,()2:解:(1)8421BCD码:(123)10=(0001 0010 0011)8421BCD()2=()10=(0001 0101)8421BCD(2)余3 BCD码(123)10=(0100 0101 0110)余3BCD()2=()10=(0100 1000)余3BCD已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2(1)按二进制运算规律求A+B,A-B,C×D,C÷D,(2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。
《数字系统设计》总复习题
《数字系统设计》复习题一、选择题1.一个项目的输入输出端口是定义在。
A.实体中B.结构体中C.任何位置D.进程体2.描述项目具有逻辑功能的是。
A.实体B.结构体C.配置D.进程3.关键字ARCHITECTURE定义的是。
A.结构体B.进程C.实体D.配置4. MAXPLUSII中编译VHDL源程序时要求。
A.文件名和实体可以不同名B.文件名和实体名无关C.文件名和实体名要相同D.不确定5. 1987标准的VHDL语言对大小写是。
A.敏感的B.只能用小写C.只能用大写D.不敏感6.关于1987标准的VHDL语言中,标识符描述正确的是。
A.必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7.关于1987标准的VHDL语言中,标识符描述正确的是。
A.下划线可以连用B.下划线不能连用C.不能使用下划线D.可以使用任何字符8.符合1987VHDL标准的标识符是。
A. A_2B. A+2C. 2AD. 229.符合1987VHDL标准的标识符是。
A. a_2_3B. a_2C. 2_2_aD. 2a10.不符合1987VHDL标准的标识符是。
A. a_1_inB. a_in_2C. 2_aD. asd_111.不符合1987VHDL标准的标识符是。
A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是。
A.实体中中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置13. VHDL语言中信号定义的位置是。
A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置14.变量是局部量可以写在。
A.实体中B.进程中C.线粒体D.种子体中15.变量和信号的描述正确的是。
A.变量赋值号是:=B.信号赋值号是:=C.变量赋值号是<=D.二者没有区别16.变量和信号的描述正确的是。
D.二者没有区A.变量可以带出进程 B.信号可以带出进程 C.信号不能带出进程别17.关于VHDL数据类型,正确的是。
2014年PLD习题集(含参考答案)数字系统设计
第1章习题1.1 名词解释PROM CPLD FPGA ASICJTAG边界扫描 FPGA/CPLD编程与配置逻辑综合PAL EDA GAL IP-CORE ISP ASIC RTL FPGA SOPC CPLDIP-CORE SOC和SOPC EDA/CAD1.2 现代EDA技术的特点有哪些?采用HDL描述、自顶向下、开放标准、具有完备设计库1.3 什么是Top-down设计方式?(P4)1.4 数字系统的实现方式有哪些?各有什么优缺点?74LS系列/4000系列常规逻辑门设计:设计难度大、调试复杂采用CPLD/FPGA等可编程器件来设计:用HDL描述、设计难度小、调试仿真方便,开发费用低,但单位成本较高,适合小批量应用专用集成电路设计:设计掩模成本高,适合大批量应用1.5什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?(P5)IP可重复使用的一种功能设计,可节省设计时间、缩短开发周期,避免重复劳动为大规模SOC设计提供开发基础、和开发平台。
1.6 用硬件描述语言设计数字电路有什么优势?优势:可进行行为级、RTL级、门级多层面对电路进行描述、可功能仿真时序分析,与工艺无关。
1.8 基于FPGA/CPLD的数字系统设计流程包括哪些步骤?(P8 图1.7)1.9 什么是综合?常用的综合工具有哪些?HDL RTL门级网表的描述转换过程ALTERA:MAX-PLUSII,Quartus, Xilinx:ISE , Lattice: ispLERVER1.10 功能仿真与时序仿真有什么区别?功能仿真不考虑器件延时,而时序分析必须考虑在不同器件中的物理信号的延时1.11 数字逻辑设计描述分哪几个层级,各有什么特点。
1.12、为何任意组合逻辑电路可用通用的与阵列、或阵列组合来实现。
可表示为布尔代数方程,由乘积项的和表示1.13 FPGA与CPLD在实现方式或部结构上的主要区别查表、与或阵列1.14 VerilogHDL与计算机程序设计语言主要区别(描述并行电路行为或结构、描述的串行指令流)1.15 简述“逻辑综合”功能作用。
数字电路与系统设计课后习题答案
1.1将下列各式写成按权展开式:(352.6)10=3×102+5×101+2×100+6×10-1(101.101)2=1×22+1×20+1×2-1+1×2-3(54.6)8=5×81+54×80+6×8-1(13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-21.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。
解:略1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数?解:分别代表28=256和210=1024个数。
1.4 将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16解:(1111101000)2=(1000)10(1750)8=(1000)10(3E8)16=(1000)101.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16解:结果都为:(10001000)21.6 将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16解:结果都为(77)81.7 将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10解:结果都为(FF)161.8 转换下列各数,要求转换后坚持原精度:解:(1.125)10=(1.0010000000)10——小数点后至少取10位(0010 1011 0010)2421BCD=(11111100)2(0110.1010)余3循环BCD码=(1.1110)21.9 用下列代码暗示(123)10,(1011.01)2:解:(1)8421BCD码:(123)10=(0001 0010 0011)8421BCD(1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD(2)余3 BCD码(123)10=(0100 0101 0110)余3BCD(1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD1.10 已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2(1)按二进制运算规律求A+B,A-B,C×D,C÷D,(2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。
数字系统(EDA)样卷
)。
C. AHDL和Verilog HDL
D. 只有Verilog HDL
4.本课程实验开发系统上的下载板所配置的目标芯片的型号
是( )。
A. FLEX10K系列 EPF10K10LC84-3 B. FLEX10KE系列 EPF10K30EFC484-1 C. FLEX10K系列 EPF10K10LC84-4 D. MAX7000系列 EPM7096LC84-7
重置
4 课程自测-《数字系统设计》样卷 课程自测—样卷1
4.写出下图所示电路的Verilog 结构描述程序。(5分)
答 : m o d u le D F F (D ,C P ,Q ,N Q ); in p u t D ,C P ; o u tp u t Q ,N Q ; w ire N D ,R ,S ; n o t (N D ,D ); n a n d N 1 (R ,D ,C P ), N 2 (S ,N D ,C P ); n a n d N 3 (Q ,N Q ,R ), N 4 (N Q ,Q ,S ); en d m o d u le
4 课程自测-《数字系统设计》样卷 课程自测—样卷1
3.什么是Top_down设计?
重置
答 : Top_down设 计 , 即 自 顶 向 下 的 设 计 。 这 种 设 计 方 法 首 先 从 系 统 入手,在顶层进行功能方框图的划分和结构设计。在功能级进行仿 真、纠错,并用硬件描述语言对高层次的系统行为进行描述,然后 用综合工具将设计转化为具体门电路网表,其对应的物理实现可以 是 P L D 器 件 或 专 用 的 集 成 电 路 (A S IC )。
sel a b 1 0
F
答 : a ,b ,s e l,F (1 分 ) a ,b ,s e l (1 分 ) F (1 分 ) F = s e l? a :b ; (2 分 )
《基于FPGA的现代数字系统设计》作业参考答案
作业答案
状态图: s0:初始状态,电路还未收到一个有效1 s1:收到一个1后的状态 S2:连续收到两个1后的状态 s3:连续收到三个1个后的状态
0/0 S0 0/0 0/0 1/1 S3 0/0 1/0 S2 1/0 S1
1/1
作业答案
module fsm(clk,reset, ina,out); input clk,ina; output out; reg out; parameter s0 = 2‘b00,s1 =2’b01, s2 =2‘b10,s3=2’b11; reg[0:1] state,next_state; always @ (posedge clk) begin if (!reset) state = s0 ; else state<=next_state; end always @ (state or ina) begin case(state ) s0:begin next_state=(ina)?s1:s0;out=0; end s1:begin next_state=(ina)?s2:s0;out=0; end s2:begin next_state=(ina)?s3:s0;out=0; end s3:begin next_state=(ina)?s3:s0;out=1; end endcase end endmodule
作业答案 3.12 module mux4(clk,rst,A,B, C,D,sel,data_sel); parameter width = 8; input[width-1:0] A,B,C,D; input[1:0] sel; input clk,rst; output[width-1:0] data_sel ; wire [width-1:0] data_sel;
《3.3 数据与系统》作业设计方案-高中信息技术教科版19必修1
《数据与系统》作业设计方案(第一课时)一、作业目标本节课的作业旨在帮助学生巩固数据与系统的基本概念,了解数据在系统中的重要性,并培养他们在实际生活中运用数据与系统解决问题的能力。
二、作业内容1. 阅读理解:学生需要阅读一篇关于数据在系统中的重要性的文章,并回答文章后面的问题。
问题涉及数据在系统中的作用、数据如何影响决策、数据驱动的决策如何影响社会等问题。
2. 案例分析:学生需要分析一个实际的数据驱动系统案例,例如一个智能交通管理系统或一个基于大数据的市场预测系统。
学生需要描述该系统的运作方式,数据在其中扮演的角色,以及数据如何影响系统的决策过程。
3. 小组讨论:学生以小组形式讨论数据在现实生活中的其他应用场景,如医疗、教育、金融等,并分享他们的见解和发现。
三、作业要求1. 独立完成:学生需独立完成阅读理解题目,并尽可能自己分析案例和进行讨论。
2. 团队合作:小组讨论应以团队合作的形式进行,每个成员都需要积极参与并贡献自己的观点。
3. 提交作业:每位学生需提交一份作业报告,包括他们的发现、分析过程和结论。
报告应以书面形式提交,并在规定时间内完成。
4. 按时反馈:教师应在作业提交后的一周内给予学生反馈,包括对作业的评价和建议,以便学生能够及时改进。
四、作业评价1. 准确性:评价学生是否准确地理解了数据与系统的重要性,以及他们是否正确地分析了案例。
2. 创新性:评价学生是否能提出新的、有创意的见解,以及他们在讨论中是否能提出新颖的想法。
3. 合作能力:评价学生在团队合作中是否能积极分享、倾听和协商,以及他们是否愿意采纳他人的意见。
4. 表达能力:评价学生的书面报告和讨论中是否能清晰、准确地表达自己的观点和想法。
五、作业反馈教师应在作业评价的基础上,给予学生针对性的反馈和建议。
对于普遍存在的问题,教师可以在课堂上统一讲解;对于个别学生的问题,教师可以单独交流,提供个性化的指导。
通过本次作业,学生将有机会深化对数据与系统的理解,培养解决问题的能力,并提高他们的团队合作和表达能力。
2014数字系统设计与VHDL课程大作业
2014数字系统设计与VHDL课程大作业数字系统设计与VHDL课程大作业具体要求一、总体要求:1.本课程为专业必选类课程,以大作业加考试成绩的形式给定最终成绩。
2.每2-3人为一组,自由组合,分工合作。
相同的选题不得超过三组。
3.所有作业需完成VHDL核心代码的设计,并采用QuartusII进行相关仿真并且平台实现,否则全组不及格。
4.要求在2周内全部内容。
第16周各小组推选一人向老师讲解题目设计思路、源代码分析、演示实验结果,具体时间地点待定。
5.每名同学于第18周提交一份设计报告,并由学习委员统一送交老师。
二、设计题目A.13级信息安全、计算机、网络工程大作业题目:题目一:数字频率计设计(平台实现)1)能对输入的方波信号频率进行采样;2)采样频率范围为0~5999999Hz,以1Hz为单位进行显示;3)采用七个七段数码管显示当前采样的频率值,如采样频率值为500HZ,则只显示500,而不显示00500(即前面两个0不显示);4)本电路系统板输入时钟为6MHz。
题目二:电子数字钟设计(平台实现)1)系统的输入时钟为平台上可选的四组输入之一;2)时间进制为24小时制,用6个数码管进行显示,第7个数码管用于进行时间的修改;3)另设YES键、TIME键、ALARM键,其要求与教材P215相同;4)由于系统平台没有扬声器,对时间报警采用一个发光二极管闪烁30秒。
题目三:万年历设计(平台实现)1)能显示年、月、日、星期2)年、月、日均需可调(需要哪些按键自己设计),星期随按万年历,不用设置;3)系统默认时间为2000年01月01日星期6。
格式:00-01-014)数码管不够,默认显示年月日,设计一个切换按钮,切换显示星期,显示在最右一个数码管即可。
题目四:竞赛抢答器设计(平台实现)1)抢答器的输入路数为8路;(8位二进制输入)2)当主持人宣布开始(拨下A7键时为有效),抢答时当某一方先按下按键,其他键则失效;3)用一个数码管显示抢中的路编号,并开始进行60秒倒计时(用两个数码管显示),时间到用一指示灯进行闪烁提示;4)A7键回位后,进行下一轮抢答。
verilog数字系统设计教程习题答案
verilog数字系统设计教程习题答案verilog数字系统设计教程习题答案第二章1.Verilog HDL 既是一种行为描述语言,也是一种结构描述语言。
如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。
这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。
2.模块的基本结构由关键词module和endmodule构成。
3.一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。
其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。
利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。
4.Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。
5.不是6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
7.综合工具可以把HDL变成门级网表。
这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。
另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以综合50万门的电路,速度更快。
今年初Ambit被Cadence公司收购,为此Cadence 放弃了它原来的综合软件Synergy。
大工14秋《数字电路与系统》在线作业(共五则)
大工14秋《数字电路与系统》在线作业(共五则)第一篇:大工14秋《数字电路与系统》在线作业大工14秋《数字电路与系统》在线作业1试卷总分:100测试时间:--试卷得分:100单选题多选题判断题包括本科在内的各校各科复习资料,可以联系屏幕右上的“文档贡献者”一、单选题(共 6 道试题,共 30 分。
)得分:30V 1.十六进制数AC转换为二进制数是()。
A.10101100B.10001100C.10011101D.11011001.满分:5 分得分:52.由卡诺图中小格的相邻性得知,相邻小格有()个变量不同。
A.1B.2C.3D.4.满分:5 分得分:53.实现与运算后再进行非运算的复合逻辑门电路称为()。
A.异或门B.同或门C.或非门D.与非门.满分:5 分得分:54.十进制数5等于下列哪项8421B码?()A.0011B.0100C.0101D.1001.满分:5 分得分:55.在数字电路系统中,为了简化运算电路,减法运算用()来实现。
A.反码相加B.补码相加C.加法和移位D.减法和移位.满分:5 分得分:56.1+A=()A.AB./AC.0D.1.满分:5 分得分:5二、多选题(共 6 道试题,共 30 分。
)得分:30V 1.下列关于二进制数的说法正确的是()。
A.0数码对应电路中的低电平状态B.0数码对应电路中的高电平状态C.1数码对应电路中的低电平状态D.1数码对应电路中的高电平状态满分:5 分得分:52.TTL与非门中不用的输入端如何处理?()A.接+5V高电平B.与使用端并联C.接地D.悬空满分:5 分得分:53.一个不带符号的二进制数,如何求其补码?()A.补码等于其二进制数原码B.二进制数原码逐位求反C.二进制数反码最低有效位加1D.二进制数低位(包括小数部分)的第一个“1”右边保持不变(包括此1),左边依次求反满分:5 分得分:54.下列关于数字量的说法正确的是()。
A.在时间上连续B.在数值上连续C.在时间上离散D.在数值上离散满分:5 分得分:55.下列属于逻辑代数的基本运算的是()。
《数字系统设计》实验指导书及综合作业
《数字系统设计》实验报告班级_______电信2班_________________ 姓名____潘俊俊_____________________ 学号___1309121091__________________实验1 组合电路的设计实验目的:熟悉ISE的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
实验内容:首先利用ISE完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形。
实验程序:ENTITY mux21a ISPORT (a, b, s: IN BIT;Y: OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a ISBEGINPROCESS (a, b, s)BEGINIF s='0' THEN y<=a; ELSE y<=b;END IF;END PROCESS;END ARCHITECTURE one;实验结果:1、综合电路图2、 仿真时序图实验分析:在0—275ns 内,s=0, 则输出a 波形;在275—685ns 内,s=1,则输出b 波形;在685ns 以后,s=0, 则输出a 波形;仿真结果与实验要求一致,故仿真结果正确。
实验2 时序电路的设计实验目的:熟悉ISE的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。
实验任务:设计一个带使能输入及同步清0的增1/减1的3位计数器,并仿真。
实验程序:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity up_down isPort(clk,rst,en,up: in std_logic;Sum: out std_logic_vector(2 downto 0);Cout: out std_logic);End;Architecture a of up_down isSignal count: std_logic_vector(2 downto 0);BeginProcess(clk,rst)BeginIf rst=’0’ thenCount<=(others=>’0’);Elsif rising_edge(clk) thenIf en=’1’ thenCase up isWhen ‘1’ => count<=count+1;When others =>count<=count-1;End case;End if;End if;End process;Sum<=count;Cout <=’1’ when en=’1’ and ((up=’1’ and count=7) or (up=’0’ and count=0)) else ‘0’;End;实验结果:1、综合电路图2、仿真时序图实验分析:当rst=’0’ ,输出被清零;否则(rst=1,en=1)当up=1时,输出count<=count+1,若up=0,输出count<=count-1。
现代数字系统设计_习题集(含答案)
一、单选题
1.IP核在EDA技术和开发中具有十分重要的地位,IP是指()。
A:知识产权B:互联网协议C:网络地址D:都不是
2.在verilog HDL的always块本身是()语句
A:顺序B:并行C:顺序或并行D:串行
3.设a = 1´b1,b = 3´b101,c = 4´b1010则X= {a,b,c}的值的等于()
C:综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的;
D:为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;
23.不完整的IF语句,其综合结果可实现()。
A:时序逻辑电路B:组合逻辑电路C:双向电路D:三态控制电路
24.CPLD的可编程是主要基于什么结构()。
A:瘦IP B:固IP C:胖IP D:都不是
16.FPGA可编程逻辑基于的可编程结构基于()。
A:LUT结构B:乘积项结构C:PLD D:都不对
17.操作符是Verilog HDL预定义的函数命名,操作符是由()字符组成的。
A:1 B:2 C:3 D:1~3
18.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件为()。
A:③①B:⑤②C:④⑤D:①②
28.设a=2,b=0,则下列式子中等于X的是()。
A:a && b B:a || b C:!a D:x && a
29.在EDA工具中,能完成在目标系统器件上布局布线的软件称为()。
A:仿真器B:综合器C:适配器D:下载器
30.关于Verilog HDL中的数字,请找出以下数字中最大的一个:()。
第十二章数字系统设计基础习题
12.2 在T1状态下,如果控制输入Y和Z分别等于1和0,
系统实现条件操作:寄存器增1,并转换到状态T2。
试按上述条件画出一个部分ASM图。 T1
10
寄存器增1 T2
YZ
12.3 试分别画出满足下列状态转换要求的数字系统
的ASMபைடு நூலகம்:
(1)如果X=0,控制器从状态T1变到状态T2;如果
控制器,试写出控制器输出控制信号的逻辑表达式、
控制器中D触发器激励函数的逻辑表达式。
(3)若X为串行数据输入端,输出Z取自寄存器Y,试描
述该系统实现的功能。
T0 Ti
0 S1S2/CLR ADD OUT
START
1
CLR:CNT0, A0 T1 ADD:AA+X,CNTCNT+1
0
T0
CNT=7 T2
表2 T1 T2 T3 A0 LD INC A 4
A0
EN 并入 LD 增1 INC
0 0 0 0 1 1 1 1
0 0 1 1 0 0 1 1
0 1 0 1 0 1 0 1
Φ Φ 1 Φ 0 Φ Φ Φ
0 0 1 Φ 1 Φ Φ Φ
0 1 0 Φ 0 Φ Φ Φ
B 4
0
1
4
MUX
C 图2
T1:CA; T2:CB; T3:CC+1
D0 Q 2 X 3 X 4 Q3 Q4
D1 Q 0 X 1 Q 0 X 1 X 2
D2 Q1 Q 2 X 3
D3 Q2 X 1 X 3 X 4 D4 Q0 X 1 X 2 Q2 X 1 X 3 X 4
补充习题
1. 数据处理器的具体操作过程可用处理器明细表来说
2014年PLD习题集(含参考答案)数字系统设计
第1章习题1.1 名词解释PROM CPLD FPGA ASICJTAG边界扫描FPGA/CPLD编程与配置逻辑综合PAL EDA GAL IP-CORE ISP ASIC RTL FPGA SOPC CPLDIP-CORE SOC和SOPC EDA/CAD1.2 现代EDA技术的特点有哪些?采用HDL描述、自顶向下、开放标准、具有完备设计库1.3 什么是Top-down设计方式?(P4)1.4 数字系统的实现方式有哪些?各有什么优缺点?74LS系列/4000系列常规逻辑门设计:设计难度大、调试复杂采用CPLD/FPGA等可编程器件来设计:用HDL描述、设计难度小、调试仿真方便,开发费用低,但单位成本较高,适合小批量应用专用集成电路设计:设计掩模成本高,适合大批量应用1.5什么是IP复用技术?IP核对EDA技术的应用和发展有什么意义?(P5)IP可重复使用的一种功能设计,可节省设计时间、缩短开发周期,避免重复劳动为大规模SOC设计提供开发基础、和开发平台。
1.6 用硬件描述语言设计数字电路有什么优势?优势:可进行行为级、RTL级、门级多层面对电路进行描述、可功能仿真时序分析,与工艺无关。
1.8 基于FPGA/CPLD的数字系统设计流程包括哪些步骤?(P8 图1.7)1.9 什么是综合?常用的综合工具有哪些?HDL→RTL→门级→网表的描述转换过程ALTERA:MAX-PLUSII,Quartus, Xilinx:ISE , Lattice: ispLERVER1.10 功能仿真与时序仿真有什么区别?功能仿真不考虑器件延时,而时序分析必须考虑在不同器件中的物理信号的延时1.11 数字逻辑设计描述分哪几个层级,各有什么特点。
1.12、为何任意组合逻辑电路可用通用的与阵列、或阵列组合来实现。
可表示为布尔代数方程,由乘积项的和表示1.13 FPGA与CPLD在实现方式或内部结构上的主要区别查表、与或阵列1.14 VerilogHDL与计算机程序设计语言主要区别(描述并行电路行为或结构、描述的串行指令流)1.15 简述“逻辑综合”功能作用。
现代数字系统设计_习题集(含答案)
D:原理图输入设计方法也可进行层次化设计。
35.一般把EDA技术的发展分为()个阶段。
A:2 B:3 C:4 D:5
36.设a = 4´b1010,b=4´b0001,c= 4´b1xz0则下列式子的值为1的是()
A:a > b B:a <= c C:13 - a < b d:13 – (a>b)
8.下列运算符优先级最高的是()。
A:!B:+ C:& D:{}
9.在verilog HDL的always块本身是()语句
A:顺序B:并行C:顺序或并行D:串行
10.用Verilog HDL的assign语句建模的方法一般称为()方法。\
A:连续赋值B:并行赋值C:串行赋值D:函数赋值
11.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件为()。
A:自底向上B:自顶向下C:积木式D:顶层
40.逻辑器件()属于非用户定制电路。
A:逻辑门B:PROM C:PLA D:GAL
41.任Verilog HDL的标识符使用字母的规则是()。
A:大小写相同B:大小写不同C:只允许大写D:只允许小写
42.下列运算符优先级最高的是()。
A:-B:!C:& D:{}
第8行out <= 0;
第9行else
第10行out <= in;
第11行assign in <=~out;
第12行assign clk_o = out;
97.下面的中有5处错误,试找出错误并修改正确。
第1行module dff8(reset, d, q);
第2行input clk;
数字电路与系统设计课后习题答案
1.9 用下列代码表示(123)10,(1011.01)2:
解:(1)8421BCD 码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD
(2)余 3 BCD 码 (123)10=(0100 0101 0110)余 3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余 3BCD
1.10 已知 A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2
(1)按二进制运算规律求 A+B,A-B,C×D,C÷D, (2)将 A、B、C、D 转换成十进制数后,求 A+B,A-B,C×D,C÷D,并将结果与(1)
进行比较。 解:(1)A+B=(10001001)2=(137)10
2.11 试用公式法把下列各表达式化简为最简与或式
(1)F=A+ABC+ABC+BC+B 解:F =A+B (2) F=(A+B)(A+B+C)(A+C)(B+C+D) 解:F'=AB+AC (3) F=AB+AB •BC+BC 解:F=AB+BC+AC 或:F=AB+AC+BC (4) F=ACD+BC+BD+AB+AC+BC 解:F=AD+C+B (5) F=AC+BC+B(AC+AC) 解:F=AC+BC
《数字系统设计》试卷A部分答案PPT教学课件
2
(1)
idle
1
r1
0 g1
1
r2
0 g2
0
1
r3
g3
1
r1
0
1
0
r2
1 0
r3
2020/12/10
(2)
idle
r3='1' and
Y
k1k2="11"
N
Y
r1='1'
N
r2='1'
N
r3='1'
Y
Y N
S3 g3='1' k1='0' k2='0'
S1 k1='1' g1='1'
S2 k2='1' g2='1'
each clock cycle in steady-state simulation(ignore any irregularities in the first few clock cycles) • 2)for full marks, if the code does not match, you must explain why. • 3) assume that all signals, constrants, variables, types, etc are properly defined and declared. • 4) all of the codes are leagal, synthesizable VHDL code.
Architecture a of q is Begin
process begin a <= ‘1’; loop wait until rising_edge(clk); a <= NOT a; end loop;
《数字系统设计I》补充作业(20140317)
补 充 作 业
第 1 章逻辑函数
题1.1 (1) (172)10 =(?)2
(2) (0.8123)10 =(?)2 (3) (10101101.0101)2 =(?)10 (4) (3625)10 =(?)8 =(?)16 (5) (0.172)8 =(?)16 =(?)2 (6) (4CA)16 =(?)2 =(?)10 题1.2 完成下列数制和代码之间的转换 (1)(468.32)10 =(?)8421BCD =(?)余3 码 (2)(10010011.1001)8421BCD =(?)2 题1.3 求下列函数的对偶式和反函数式 (1) Z1 ( B A C D)( A B C D (2) Z 2 A B C D AD BC 题1.4 试证明下列“异或”等式成立 (1) A B C A B C A B C (2) ( A B)( AB ) AB 题1.5 用代数法将下列函数化简成为最简表达式 (1) A B A B AB AB (2) ( A B C )( B BC C )( D DE E ) (3) AD AD AB AC BD ABEF BEF (4) ( A B) D ( AB BD)C ACBD D (5)
图题 2.2
题2.3 图题2.3 所示电路为CMOS 门电路,试分析各电路输出逻辑功能,并写出各电路的输出逻辑 函数式。设二极管正向导电时的压降为0.7V
图题2.3
题2.4 试用四个CMOS 传输门(TG 门) 和一个反相器( “非”门) 题2.5 甲、乙两位同学,用一个“ 与非”门( 已知“与非” 门的I OL max=16mA,IOHmax=0.4mA) 驱动发光二 极管( 设二极管发光时工作电流为10mA) ,甲接线如图题2.5(a) ,乙接线如图题2.5(b) 。试问谁的 接线正确?
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
画出Q 1 和Q 2
图题4.8
题 4.9 推导图 4.9 所示 RS 触发器的特征方程。
图题 4.9
第 5 章 时序逻辑电路
题5.1 图题5.1是一个实现串行加法的电路图,被加数11011及加数10111已分别存入二个五 位被加数和加数移位寄存器中。试分析并画出在六个时钟脉冲作用下全加器输出Si 端、进位 触发器Q端以及和数移位寄存器中左边第一位寄存单元的输出波形。
第 4 章 集成触发器
题4.1 电路如图题4.1 所示。能实现
Q n1 Q n
的电路是哪一种电路。
图题4.1
题 4.2 根据图题 2.4.5 所示电路及 A、B、C 波形,画出 Q 的波形。(设触发触器初态为 0)。
图题4.2 题4.3 由JK 触发器组成的电路及其CP 、J 端输入波形如图题4.3 所示,试画出Q 端的波形( 设初态 为0) 。
题3.11 用一个四位加法器74LS238和少量门电路设计代码转换电路,输入为2421BCD码,输 出为8421BCD码。 题3.12 P(P2 P1 P0 ) 、Q(Q2 Q1 Q0 )为二个三位无符号二进制数,试用一个3线-8线译码器74138 和一个8选1 数据选择器74151和尽可能少的门电路设计如下组合电路:当P=Q时,电路输出
图题5.3 题 5.4 试用一片 74161 及尽量少的门电路设计成一个能自动完成八进制加/减循环计数的 计数器。即能从 000 加到 111,再从 111 减到 000 循环。 题 5.5 分析图题 5.5 所示的时序电路, 其中 74283 为四位加法器、 74175 为 4D 寄存器、 14585 为四位比较器,Y 为输出。画出状态转换图并说明电路功能。
Y E ( A B C )( A C BF )( B C A D)( A C B F )
题3.9 用加法器和适量门电路实现Y=3X+1, 其中X为三位二进制数。 要求: 1、 电路尽量简单, 加法器个数不限。2、写出设计过程。
题3.10 设A、B为四位二进制数,试用1片四位二进制加法器74283实现函数Y=4A+B。
图题2.5
题 2.6 分析下图所示的电路,哪些能正常工作,写出输出信号的的表达式或值?哪些不能, 说明为什么?其中(A) 、 (B)为 TTL 逻辑门, (C)为 CMOS 逻辑门。
题 2. 7 在图 2.7 中,三态门、非门均为 TTL, S 为开关,电压表内阻为 200KΩ,求下列情 况下,电压表读数 Y1 =? a) b) c) d) e) f) A=0.3V,C=0.3V,S 断开 A=0.3V,C=0.3V,S 接通 A=3.6V,C=0.3V,S 接通 A=3.6V,C=0.3V,S 断开 A=3.6V, C=3.6V,S 接通 A=0.3V, C=3.6V,S 断开 图题 2.7
图题5.1 题5.2 TTL电路组成的同步时序电路如图题5.2 1 Q1 、Q2 、Q3 波形,并说明虚线框内电路的逻辑功能。
2
Z输出和各触发器的置零端 CR 连接在一起,试说明当X1 X2 X3 为110时,整
个电路的逻辑功能是什么?
图题5.2 题5.3 电路如图题5.3所示。1.令触发器的初始状态为Q3 Q2 Q1 =001,请指出计数器的模,并画 出状态转换图和电路工作的时序图。 2.若在使用过程中FF2损坏, 欲想用一个负边沿D触发器 代替,问电路应作如何修改,才能实现原电路的功能 ( A, B, C, D) ABC CD AC D BC D
Y ( A, B, C, D) ( A B C D)( B C D)( A C D)
用卡诺图法求函数 Z XY 的最简“与-或”
题1.9 已知逻辑函数 Y ( A, B, C , D) AB D BCD ABD 的简化表达式为
Y=1;否则,Y=0。 题 3.13 自选组合模块电路和门电路实现下面组合逻辑电路。电路的输入为两个 4 位二进制 数 A(A3 A2 A1 A0 ) 、B(B3 B2 B1 B0 )和一个控制信号 M;电路的输出为 4 位二进制数 Y(Y3 Y2 Y1 Y0 ) 。 当 M=1 时,Y=MAX(A,B);而当 M=0 时,则 Y=MIN(A,B) 。另外,若 A=B 时,可输出 A 和 B 中任何一个。
题3.3 试用74LS138 型3/8 译码器设计一个地址译码器, 地址译码器的地址范围为00-3F 。 ( 可适当 加其它逻辑门电路)
题 3.4 用一个 3 线/8 线译码器 74138 和尽量少的门电路实现:
Y AB BD BC AB BC
题3.5 设X 和Y 分别为二位二进制数,试用最少量的半加器和与门实现Z= X ·Y 题3.6 试设计一个一位二进制数的全减器,设A 为被减数,B 为减数,J 0 为低位来的借位信号,D 为差数以及J 1 为向高位的借位信号,请用一个全加器和尽量少的门电路实现该全减器 题3.7 试用一片八选一数据选择器74LS151 实现逻辑函数。 (1) (2) (3)
第 2 章 集成逻辑门
题2.1 指出图题2.1 所示电路的输出逻辑电平是高电平、低电平还 是高阻态。已知图(a) 中的门 电路都是74 系列的TTL 门电路,图(b) 中的门电路为CC4000 系列的CMOS
图题2.1 题2.2 试画出图题2.2 三态门和TG 门的输出电压波形。其中A 、B 电压波形如图题2.2
Z ( A, B, C, D) BD B D ,试问它至少有哪些无关项?
题1.10 (1) 用最少量的“与非”门实现 Y ( A B C )( A B C ) (2) 用最少量的“或非”门实现函数 Y A BC BC , (3) 用最少量的“与-或-非”门实现函数 Y AB BC C D AD
《数字系统设计 I》
补 充 作 业
第 1 章逻辑函数
题1.1 (1) (172)10 =(?)2
(2) (0.8123)10 =(?)2 (3) (10101101.0101)2 =(?)10 (4) (3625)10 =(?)8 =(?)16 (5) (0.172)8 =(?)16 =(?)2 (6) (4CA)16 =(?)2 =(?)10 题1.2 完成下列数制和代码之间的转换 (1)(468.32)10 =(?)8421BCD =(?)余3 码 (2)(10010011.1001)8421BCD =(?)2 题1.3 求下列函数的对偶式和反函数式 (1) Z1 ( B A C D)( A B C D (2) Z 2 A B C D AD BC 题1.4 试证明下列“异或”等式成立 (1) A B C A B C A B C (2) ( A B)( AB ) AB 题1.5 用代数法将下列函数化简成为最简表达式 (1) A B A B AB AB (2) ( A B C )( B BC C )( D DE E ) (3) AD AD AB AC BD ABEF BEF (4) ( A B) D ( AB BD)C ACBD D (5)
图题 2.2
题2.3 图题2.3 所示电路为CMOS 门电路,试分析各电路输出逻辑功能,并写出各电路的输出逻辑 函数式。设二极管正向导电时的压降为0.7V
图题2.3
题2.4 试用四个CMOS 传输门(TG 门) 和一个反相器( “非”门) 题2.5 甲、乙两位同学,用一个“ 与非”门( 已知“与非” 门的I OL max=16mA,IOHmax=0.4mA) 驱动发光二 极管( 设二极管发光时工作电流为10mA) ,甲接线如图题2.5(a) ,乙接线如图题2.5(b) 。试问谁的 接线正确?
Z ( A, B, C, D) ABCD ABC D ACD Z ( A, B, C ) ABC ABC ABC
Z ( A, B, C ) A ( B C )
题 3.8 用一个 8 选 1 数据选择器 74151 和非门设计下列逻辑函数。 (注意:只能用 74151 和 非门,不允许用其它器件)
第 3 章 组合逻辑电路
题 3.1 分析图 3.1 所示的逻辑电路,其中 74151 为 8 选 1 数据选择器。写出输出函数 Y 的
逻辑表达式并化简。
图题3.1
题3.2 图3.2中为74LS48组成的6位数码显示系统,根据图中所示的输入,说出显示器中显示
的内容(图中未接的管脚均为悬空) 。
图题3.2
AB E AB D E ABCE ABDE ABC DE ABC D EF
(6) ABC D A( B C )( B D) A C D (7) D( A B)( B C D) CE ACD AE D ABC DE F (8) ( A B C D)( A B)( A B D)( B C )( B C D) 题1.6 (1) Y ( A, B) AB AB AB (2) Y ( A, B, C ) ABC A B C (3) Y ( A, B, C , D) AD( A D) ABC CD ( B C ) ABC (4) Y ( A, B, C, D) ABD CD( B D AC D) AD AC D (5) Y ( A, B, C , D) m(0,2,5,6,7,8,10,11,14,15) (6) Y ( A, B, C , D) m(1,2,3,5,6,7,8,9,10,11,12,13) (7) Y ( A, B, C, D) AC AC BC BC (8) Y ( A, B, C , D) ABC ABD ACD C D ABC AC D (9) Y ( A, B, C , D) m(0,1,2,3,4,6,8,9,10,11,14) (10) Y ( A, B, C , D) ( A B) D ( AB BD)C ACBD D 题1.7 用卡诺图法将下列具有约束条件的逻辑函数化简成为最简“与- 或” (1) Z ( A, B, C , D) m(1,5,6,7,9) d (10,11,12,13,14,15) (2) Z ( A, B, C , D) m(0,1,2,5,6) d (4,11) (3) Z ( A, B, C , D) m(0,1,2,4,5,6,12) d (3,8,10,11,14) (4) Z ( A, B, C , D) BCD ABC D ABCD, 约束条件为 C D 0 题1.8 已知逻辑函数X和Y: