汽车尾灯控制电路
汽车尾灯控制电路
电子课程设计——汽车尾灯控制电路学院:电子信息工程学院专业、班级:姓名:学号:指导教师:闫小梅2014年12月12日目录一﹑设计任务与要求二﹑总体框图三﹑选择器件四﹑功能模块五﹑总体设计电路图六﹑实验总结与体会七﹑参考文献汽车尾灯控制电路一、设计任务与要求1、设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3 L1L2L3点亮)。
2、分析以上设计任务,由于汽车左转弯、右转弯、刹车、正常行驶时所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
二、总体框图1、设计思想电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器电路实现汽车尾灯依次并循环显示。
2、设计方案方案一本方案依靠移位寄存器74LS197实现灯的循环点亮,利用晶振分频电路实现CP。
其系统框图如下:方案二方案设计采用74ls138译码器实现对灯的循环控制,通过对输入地址码的改变使不同输出端有效实现对灯的控制,再配合六个与非门实现对刹车和正常运行时灯的闪烁情况控制,其中闪烁控制的CP脉冲由555定时器设计完成,而对于转弯时尾灯的循环亮则采用设计一个三进制计算器作为3-8译码器的地址输入端实现。
汽车尾灯控制电路设计
汽车尾灯控制电路设计
汽车尾灯控制电路是汽车电子系统中非常重要的一部分,它控制装配在汽车后尾部的
尾灯的工作状态,以确保安全行驶。
下面,我们对其电路逻辑做了详细介绍。
汽车尾灯控制电路主要采用了定时器与光敏电阻来检测汽车的尾灯是否正常工作,从
而确保汽车的安全性。
首先,电路的输入部分包括一个定时器,它用来控制该电路的功能。
此外,一个光敏电阻被用来检测夜间汽车是否开启尾灯,以便检测汽车的安全行驶。
此外,还配备有一个按钮开关,用来控制尾灯是否打开。
电路的输出部分主要包括定时器,它负责检测汽车夜间走行时,尾灯是否开启。
当汽
车夜间行驶时,该定时器将开始计时,一旦计时到达指定时间,它将使汽车尾灯开启。
另外,光敏电阻将检测出汽车是否已经熄灭尾灯,一旦检测到尾灯已熄灭,定时器将停止计时,尾灯也将被关闭。
此外,按钮开关也可以控制汽车的尾灯的工作状态。
当汽车在夜间行驶时,拥有尾灯
的按钮可用于手动控制尾灯的工作状态。
总而言之,汽车尾灯控制电路是以定时器,光敏电阻及按钮开关为核心的控制系统。
它可以有效地帮助汽车保持夜间行驶的安全,以期在黑夜行驶的路上,可以有效的显示汽
车和其他车辆的位置,有效保护行人和其他车辆的安全。
汽车尾灯控制电路图(一)
汽车尾灯控制电路图(一)
本电路用几个廉价的晶体管和两个继电器使公共汽车的抽动国信号和拐弯信号能综合控制尾灯;制动时两个尾灯都亮,拐弯时只有一个尾灯亮。
拐弯信号使尾灯每秒亮两次。
拐弯时C1和C2充电至拐弯信号的峰压。
电容的大小要使继电器能够在灯闪的间隙时间内吸合。
如果电容选得太大,在拐弯信号撤除之后,制动信号就无法马上使尾灯亮起来。
本电路是为新式汽车设计的,这种汽车为了保证安全起见需要将拐弯信号和制动信号分开。
汽车尾灯控制电路
汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
数电汽车尾灯控制电路实验报告
数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。
掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。
1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。
2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。
通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。
2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。
2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。
3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。
4.调试和验证电路的功能,确保尾灯控制电路正常工作。
3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。
经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。
3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。
2. 示宽灯:当车辆转向时,尾灯会交替闪烁。
3. 倒车灯:当车辆倒车时,尾灯会亮起。
4. 位置灯:车辆启动后,尾灯会持续亮起。
3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。
该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。
4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。
同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
汽车尾灯控制电路原理
汽车尾灯控制电路原理汽车尾灯控制电路是一个用于控制汽车尾灯点亮和熄灭的电路系统。
它是汽车电气系统中非常重要的一部分,其功能是在行驶过程中向后方其他车辆和行人传达车辆运行状态,确保行车安全。
汽车尾灯控制电路通常由以下几个部分组成:电源电路、控制开关、继电器、保险丝、电路线束、尾灯灯泡和接地。
电源电路是尾灯控制电路的起点,它通常由汽车电瓶供电。
电源电路还需要一个主电力开关,它通过电气连接或断开来控制整个电路系统的通断。
接下来,控制开关是尾灯控制电路的核心部分。
它通常是通过扭动、按压或翻转等方式操作的机械或电子开关。
控制开关的位置和状态决定了尾灯是否点亮。
控制开关与继电器相连。
继电器是一个电磁开关,它由控制开关的信号来控制开关通断。
当控制开关处于尾灯点亮位置时,继电器将触点闭合,将电流送到尾灯灯泡,灯泡会发出红光。
当控制开关处于尾灯熄灭位置时,继电器将触点断开,切断电流供给,灯泡熄灭。
为了防止电路短路和过载,汽车尾灯控制电路还需要添加适当的保险丝。
保险丝是一种保护装置,当电路中出现异常电流或过载时,它会断开电路,阻止电流继续通过,从而保护电气系统的安全运行。
电路线束是指将各个部分连接在一起的电线系统。
它们常常由抗氧化、耐高温和防火的材料制成,以确保线路的稳定性和持久性。
尾灯灯泡是尾灯控制电路中最终发光的部分。
它通常由一个或多个独立的灯泡组成,灯泡中的电流通过导电材料,使其内部的发光材料发光。
灯泡的数量、类型和功率会根据车型和规格的不同而有所不同。
最后,接地是汽车电气系统中一个至关重要的部分,尤其是在尾灯控制电路中。
接地可以将电流回路关闭到地面上,确保电流的正常流动。
接地还可以防止电路中的电压过高,从而保护电气设备的安全使用。
总之,汽车尾灯控制电路是一种复杂的电气系统,由多个组件和连接方式组成。
它通过电源电路、控制开关、继电器、保险丝、电路线束、尾灯灯泡和接地等部分协同工作,实现了汽车尾灯的可靠控制。
汽车尾灯的正常运行对于行车安全、交通规范和其他交通参与方的安全意识起着重要作用。
汽车尾灯控制电路
摘要在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
要求:当汽车正常运行时指示灯全灭;汽车右转弯时,右侧三个指示灯按右循环顺序点亮;汽车左转弯时,左侧三个指示灯按左循环顺序点亮;汽车临时刹车时所有指示灯同时闪烁。
由于汽车左转弯、右转弯、刹车以及正常运行时,所有灯点亮的次序和是否点亮是不同的,所以用双向移位寄存器74LS194,从而得到一个高电平输出,就点亮不同的尾灯,从而控制尾灯按要求点亮。
比如,因为汽车的突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯状态的变化来确定就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。
本文是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。
整个电路由双向移位寄存器 74LS194、二输入与非门 74LS00、555定时器、电容、电阻、尾灯LED组成。
分析了使能控制信号与时钟脉冲的关系。
运用555定时器、74LS194、74LS00实现了根据汽车的运行状态,指示灯显示四种不同的模式。
本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。
【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。
一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。
二、实验目的1.按照学科培养计划要求在学生学完电子技术、电路课程后应进行课程设计,巩固和加深对基础知识的理解。
2.提高学生使用中小规模集成芯片以及调试较大型电子系统的能力;增强理论联系实际、电路分析、设计能力。
3.认识基本逻辑单元电路在实际生活中的应用,培养专业兴趣,了解专业前景。
电子技术课程设计汽车尾灯控制电路
电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。
当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。
RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。
课程设计-汽车尾灯控制电路设计
课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。
该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。
汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。
控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。
变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。
电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。
尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。
首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。
其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。
最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。
总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。
其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。
12.6汽车尾灯控制电路
图电路主要由3-8线译码器74LS138、BCD 同步加减计数器74LS190及四位双向移位寄存 器74LS194组成,电路如图12.6.2。 例如当左尾灯控制开关断开时74LS138芯 片的输入端ABC为100,
通过译码后将100信号加到74S194的相应的 端子从而实现左边的灯光移位闪烁,同时通过 74LS190组成3进制计数器来控制3个灯闪烁。右 尾灯控制过程与左尾灯相同。若要控制多个灯的 闪烁可将计数器电路重新设计就能实现。
12.6 汽车尾灯控制电路
用6个发光二极管模拟汽车尾灯,即左尾灯 (L1~L3)3个发光二极管,右尾灯(R1~R3) 3个发光二极管。用两个开关分别控制左尾灯 显示和右尾灯显示。当左转弯开关KL打开时, 左转弯尾灯显示的3个发光二极管按图12.6.1 所示的规律亮灭显示。
当右转弯开关KR打开时,同样,如同左转弯 尾灯显示的3个发光二级管也按图12.6.1所 示的规律亮灭显示。
汽车尾灯控制电路设计思路
汽车尾灯控制电路设计思路汽车尾灯控制电路设计可不是一件轻松的事儿,但我们可以把它说得轻松点。
想象一下,晚上开车,路灯昏暗,突然一辆车的尾灯闪得像个小星星,真是让人目不转睛!尾灯可不仅仅是个装饰,它可是安全驾驶的小帮手。
那我们怎么才能让这个小帮手更聪明呢?好啦,咱们来聊聊这个汽车尾灯控制电路的设计思路,听起来简单,实则大有文章。
咱们得搞清楚尾灯的基本功能,简单来说,它们主要是用来提醒后面的司机,嘿,我在这儿呢!你别撞上我!一想到这个,心里就觉得暖暖的,对吧?尾灯的类型可不少,有刹车灯、转向灯、示宽灯等等。
每种灯都有自己的小任务,正好像一家人,各司其职,各尽其责。
要设计电路,得先理清楚这些灯的工作原理,别让它们在“岗位”上打瞌睡。
比如,刹车灯一踩油门就亮,那感觉就像是打了鸡血,瞬间活跃起来。
咱们得考虑控制电路的核心部分,像是尾灯的“大脑”。
这个大脑负责接收来自油门、刹车踏板等各种信号,决定什么时候让灯亮,什么时候让灯灭。
就像是一个聪明的指挥家,指挥着乐团,演奏出最和谐的旋律。
现代汽车通常用微控制器来实现这个功能,简单来说,就是个小小的计算机,专门负责“思考”和“执行”。
如果这个大脑出了问题,尾灯可就会变成“哑巴”,那就尴尬了。
电路的布局也是个技术活。
设计的时候要把线路理顺,确保每个灯都能收到信号,像一条河流,流畅无阻。
那我们可得考虑线路的抗干扰能力,别让电磁干扰来捣乱。
想象一下,如果尾灯在路上忽闪忽闪,后面的司机可能以为你是在玩灯光秀,结果可就乐子大了。
所以,防干扰的措施可不能马虎,比如用屏蔽线啊,或者设计合理的电路板,保证信号传输稳定,像信号好的WiFi一样,不掉线。
安全性也是设计中不可忽视的一部分。
电路要有过载保护,防止电流过大烧坏尾灯。
就像给车子穿上保护衣,抵挡外来的伤害。
咱们也得考虑到灯泡的寿命,设计个智能监测系统,及时提醒车主换灯泡。
要不然,突然某个灯不亮了,后面的车可就会一脸懵逼,想:“这车这是怎么了?”可别让人家为了你的小灯泡而大费周章。
数字电路课程设计(汽车尾灯控制)
西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3 L1L2L3点亮);当汽车后退的时候所有灯循环点亮;当晚上行车的时候汽车灯的最下一个灯一直点亮。
二、设计条件利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。
汽车尾灯控制电路设计总体框图如图1所示。
汽车尾灯和汽车运行状态表1-1图1 汽车尾灯控制电路设计总体框图四、设计内容⑤⑥(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明:由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的灯在闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。
然后通过计数器就能控制汽车灯在循环点亮的时候时间间隔约为0.5S ,这样就能让人很清楚的明白该汽车的动作以采取相应的动作从而避免交通事故的发生。
②.设计计算公式(对应右图):高电平时间: C R R tph)(7.021+=低电平时间:C R tpl27.0=占 空 比: RR Rt tt plphphD 2212+=+=③.设计最后图形如右图所示: 高电平时间 tph=250.0ms低电平时间tpl=213.9ms占 空 比 D=53.8% 频 率 f=2.158 ④.仿真波形以及连接图形:如右图所示为在multisim 7.0里仿真时的实际连接电路。
汽车尾灯的控制电路
汽车尾灯的控制电路汽车尾灯是车辆行驶时非常重要的安全装置,它能够在夜间或恶劣天气条件下提供车辆的可见性,从而减少交通事故的发生。
而汽车尾灯的控制电路则是实现尾灯功能的关键部分。
在本文中,我们将深入探讨汽车尾灯的控制电路的工作原理和设计特点。
汽车尾灯的控制电路通常由多个部分组成,包括开关、继电器、电路保护装置和灯泡。
当驾驶员打开车辆的灯光开关时,电路将闭合,导通电流。
这时,继电器将被激活,使得电流能够流向尾灯。
同时,电路保护装置会监控电流的大小,以确保电路不会因为过载而损坏。
最后,灯泡会受到电流的激活,发出光亮。
在设计汽车尾灯的控制电路时,需要考虑到几个关键因素。
首先,电路的稳定性和可靠性是至关重要的,因为汽车在行驶过程中会受到各种振动和冲击。
因此,电路的连接部分需要采用可靠的焊接或连接方式,以确保在恶劣的路况下也能正常工作。
其次,电路的保护装置需要能够及时检测并切断过载电流,以保护电路和灯泡不受损坏。
最后,为了节约能源和延长灯泡的使用寿命,电路还需要考虑到功耗和散热的问题。
在实际应用中,汽车尾灯的控制电路还需要满足一些特殊的需求。
例如,一些车辆可能会配备自动开关的功能,它能够根据车辆的行驶状态和周围环境的亮度自动调节尾灯的亮度。
这就需要在电路中加入光敏电阻或其他传感器,以实现自动控制功能。
此外,一些高端车型还可能会配备闪烁功能,使得尾灯在刹车时能够闪烁提醒后车驾驶员,这就需要在电路中加入闪烁控制器和相关传感器。
总的来说,汽车尾灯的控制电路是汽车安全系统中不可或缺的一部分,它能够在夜间或恶劣天气条件下提供良好的可见性,从而减少交通事故的发生。
在设计和制造汽车尾灯的控制电路时,需要考虑到电路的稳定性、可靠性和节能性等因素,同时还需要根据车辆的特殊需求进行定制。
随着汽车技术的不断发展,相信汽车尾灯的控制电路也会不断得到改进和完善,为驾驶员和行人的安全提供更好的保障。
【完美升级版】汽车尾灯控制电路设计_毕业论文
(此文档为word格式,下载后您可任意编辑修改!)汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
第2章设计方案2.1汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:图2-1 原理框图第3章电路设计3.1译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线〜8线译码器。
《课程设计-汽车尾灯控制器的电路设计》精选全文
可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。
2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。
②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。
二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。
设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。
表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。
整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。
所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。
此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。
方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。
555定时器的管脚图如图2所示。
由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。
基于EDA技术的汽车尾灯控制电路设计精选全文
可编辑修改精选全文完整版汽车尾灯控制电路一、设计任务(一)系统功能汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。
汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。
根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中:1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。
4、倒车时播放语音警告5、设置两个可控制的开关,可产生00、01、10、11四种状态开关置为00状态时,表示汽车处于正常运行状态。
开关置为01状态时,表示汽车处于右转弯状态。
开关置为10状态时,表示汽车处于左转弯状态。
开关置为11状态时,表示汽车处于刹车状态。
另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。
(二)汽车尾灯控制电路设计任务1、系统框图与原理图设计2、系统电路原理EDA设计与仿真3、系统电路的PCB印制板设计4、硬件调试(三)EDA仿真实验条件要求实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP 可编程逻辑芯片。
大部分仿真用计算机软件QuartusII9.0来完成,当认为运行比较理想时,要按照后文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。
要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。
二、实际设计(一)、系统框图与原理图设计该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。
系统原理框图如下:1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。
2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
汽车尾灯控制电路
设计者:
汽车尾灯控制电路
内容摘要
本课题设计一个汽车尾灯的控制电路。
汽车尾部左右两侧各有3个指示灯。
当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。
一、设计内容及要求
本课题设计一个汽车尾灯的控制电路。
该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。
当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。
当接通刹车电键时,汽车所有的尾灯同时闪烁。
当接通检查电键时,汽车所有的尾灯点亮。
二、电路的工作原理
经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。
3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。
左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。
这部分电路起到信号分拣的作用。
分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。
最终得到的信号即可输出到发光二极管上,实现所需功能。
三、系统方案的选择
在设计本电路时,一共考虑过三种方案。
这三种方案的不同点在于产
生001、010、100三种信号的方法不同。
下面简单的介绍一下这三种方案:
第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。
0
设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。
则 经过
)
()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。
电路图如下:
但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。
第二种方案:通过74LS194移位寄存器来产生001、010、100的三种
状态信号。
这种方案的实现方法比较简单,但由于实验室缺少74LS194芯片,所以在此就不赘述了。
第三种方案:通过D触发器产生001、010、100的三种状态信号。
这是本次课程设计中使用的方案,该方案的详细设计将在下面详细叙述。
四、系统框图
1.由555定时器构成的多谐振荡器:
由555定时器构成的多谐振荡器时输出频率为:
f=1.43/(R1+R2)/C,这里选择R1=43K欧姆,R2=50K欧姆,C=10u法拉,则输出信号为1赫兹(周期为1秒)。
2. D触发器逻辑电路:
由于没有与门,所以用一个与非和一个非门代替。
其状态图如下图所示,在初始状态时为000,所以要经过一个脉冲周期进入循环,而在整个工作过程中周期信号是一直和本电路连接的,不会出现循环外的011、110、111、101状态,所以不用担心出现不稳定状态,也就是说从接入电源开始电路就是一直处在循环中的。
011 110 100 010 101
001
000
111
3.左转右转控制电路:
用逻辑电路实现
4.检查和刹车控制电路:
由于或门在一端输入为空时输出为高,所以在检测和刹车先与,则无检测和刹车指令时为低电平,与非门输出为低。
电路总图如下:
六、测试单元电路:
1.测试555定时器电路产生周期为1s的时钟信号。
该电路是由555定时器构成的多谐振荡器,使其产生需要的方波作为触发器的CP脉冲,由于电路对脉冲的精确度要求不是很高而晶体振荡需要分频,所以采用555定时器构成的多谐振荡器,
测试方法:利用示波器观察输出信号的周期和频率。
使用仪器:示波器
测试电路如下:
波形如下:
2.测试D触发器逻辑电路:
测试方法:利用实验箱的CP脉冲和发光二极管测试输出是否为001、010、100的循环
使用仪器:实验箱
3. 测试左转右转控制电路和检查和刹车控制电路:
测试方法:用实验箱手动测试
测试工具:实验箱
七、参考文献:
1.康华光主编,电子技术基础(数字部分),高等教育出版社
2.标准集成电路数据手册TTL电路,电子工业出版社
所需元器件清单:
74LS00两个、74LS04两个、74LS32两个、74LS74两个、555定时器一个、0.01uF和10uF电容各一个、50k欧姆和43k欧姆电阻各一个、1k 欧姆电阻4个、200欧姆电阻6个、开关4个、发光二极管6个、导线若干
八、调试过程中遇到的问题及解决方法:
1.烧毁
在电路测试阶段由于没有保护措施,所用的74LS32上面的四个或门
石油大学(北京)电子系统综合实验设计报告
有一个被烧毁了,由于当时还不知道,所以查了好久才发现问题所在,解决方法是用适当的电阻进行保护。
2.虚焊
这是焊接过程中经常遇到的问题,如果不加以纠正将使电路无法工作,而且这个问题很难检查出来,也只能利用万用表检查,我们刚发现是由于接上电路发现发光二极管是一直点亮的,后来发现是由于一个焊点虚焊导致或门的一端输入为接空引起的。
设计心得体会
三周的实习很快就结束了,总的说来收获很大,开始时是设计阶段也没太在意,后来到动手的时候觉得遇见了好多没想到的问题,然后一个一个的解决,我想这也是最吸引我们的地方,当真正投入时才发现乐在其中,焊接时最难忘的是在11楼实验室度过的那一夜,
在最初的设计过程中,我们一共整理出好几个方案。
但在实践的过程中发现,在Multisim中模拟的情况和实际实践中还是有些差异的。
Multisim 中的Bug也给我们带来了很多不便,希望该软件在后续版本中能够得到改进。
在调试过程中,脆弱的74LS32或门的罢工使我们当时近乎绝望,因为当时在实验室中就找到两个74LS32,我们一共需要7个或门。
烧了两个或门使得试验无法再进行下去。
还好,在74LS00和74LS04上还有几个空余的与非门和非门。
我们用两个非门和一个与非代替了原来的或门的功能。
总的说来,这次课程设计还是比较顺利的。
只是在测试阶段遇到一点问题,其他阶段还是完全符合预定计划的,并没有因为什么问题而耽误实验进程。
这次课程设计,虽然短暂。
但却是我们第一次的自主合作的设计电路。
以前书本上的内容第一次完完全全的在实际中实现。
在设计过程中,遇到了书本中不曾学到的情况。
同时,由于是三人合作制作,是我们学到在将来大规模电路设计中,团体协作(Teamwork)是多么的重要。
最后,感谢老师为我们提供这次的实习机会和悉心的指导。
设计者:
2。