电子设计 实验报告.

合集下载

电子产品设计实验实验报告

电子产品设计实验实验报告

电子产品设计实验实验报告一、实验目的本次电子产品设计实验的主要目的是通过实际操作,深入了解电子产品设计的流程和方法,培养我们的创新思维、工程实践能力以及解决实际问题的能力。

同时,通过实验,熟悉电子电路的设计、原理图绘制、PCB 布线、元器件选择与焊接、电路调试等环节,掌握相关工具和软件的使用,为今后从事电子产品研发工作打下坚实的基础。

二、实验设备与材料1、实验设备数字示波器函数信号发生器直流电源万用表电烙铁热风枪2、实验材料电路板电阻、电容、电感、二极管、三极管等电子元器件集成电路芯片三、实验原理本次实验设计的电子产品是一个简易的温度控制器。

其工作原理是通过温度传感器采集环境温度,将温度信号转换为电信号,经过放大、滤波等处理后,输入到微控制器(MCU)中。

MCU 根据预设的温度阈值,控制加热或制冷设备的工作状态,从而实现对环境温度的控制。

在电路设计方面,温度传感器采用热敏电阻,其电阻值随温度的变化而变化。

通过与固定电阻组成分压电路,将温度变化转换为电压变化。

电压信号经过运算放大器进行放大,再通过低通滤波器去除噪声干扰。

放大和滤波后的信号输入到 MCU 的模拟输入引脚,MCU 对信号进行 A/D 转换和处理,通过数字输出引脚控制继电器的开关状态,从而实现对加热或制冷设备的控制。

四、实验步骤1、电路设计根据实验原理,使用电路设计软件绘制原理图。

在原理图绘制过程中,合理布局元器件,确保电路连接正确、清晰。

完成原理图绘制后,进行电气规则检查,确保没有错误和警告。

2、 PCB 布线将原理图导入PCB 设计软件,根据电路板的尺寸和元器件的封装,进行 PCB 布线。

布线时遵循布线规则,尽量减少走线长度和交叉,保证信号的完整性。

完成 PCB 布线后,进行设计规则检查,确保布线符合要求。

3、元器件选择与采购根据原理图和 PCB 设计,选择合适的电子元器件。

在选择元器件时,考虑其性能、参数、价格等因素,确保满足实验要求。

电子线路设计 实验报告

电子线路设计 实验报告

电子线路设计实验报告一、实验目的本次实验旨在通过设计和搭建电子线路,掌握电子线路搭建与调试的基本技能,加深对电子线路原理的理解,并能熟练运用相关软件进行模拟与仿真。

二、实验原理本实验选取了一个常见的电子线路——放大电路作为设计对象。

放大电路是一种将输入信号放大的电子线路,由一个或多个放大器组成,常用于音频放大、视频信号处理等领域。

设计一个放大电路的基本步骤如下:1. 确定放大电路的参数要求,包括输入信号幅值、放大倍数、最大输出幅值等。

2. 选择合适的放大器型号。

3. 根据放大电路要求,计算电路中的元件数值。

4. 利用软件进行电路模拟与仿真,查看电路的输出情况。

5. 搭建实际电子线路,进行调试。

三、实验过程本次实验以设计一个音频放大电路为例进行说明。

1. 确定放大电路参数要求假设我们的放大电路要求输入信号幅值为0.1V,放大倍数为50,最大输出幅值为5V。

2. 选择放大器型号根据放大电路参数要求,我们选择了一款标称放大倍数为100的放大器。

3. 计算电路中的元件数值根据放大器的输入阻抗和电压放大倍数公式,我们可以计算出电路中的元件数值:- 输入电阻:RI = Vin / Iin = 0.1V / 0.001A = 100Ω- 输出电阻:Ro = 1.8Ω- 输入电容:CI = 10uF- 输出电容:Co = 100uF- 反馈电阻:Rf = (Av + 1) * Ro = (50 + 1) * 1.8Ω= 90Ω4. 电路模拟与仿真利用电子线路设计软件,我们可以对电路进行模拟与仿真。

通过输入目标信号,观察电路的输出情况,优化电路设计。

5. 搭建实际电子线路根据模拟与仿真结果,我们可以在实验室搭建实际的电子线路。

按照之前计算的元件数值,选择相应型号和数值的电阻、电容进行连接。

使用万用表等工具进行电路的调试和测试。

四、实验结果经过实验,我们成功搭建了一个音频放大电路,并在实验中得到了相应的结果。

将不同幅值的音频信号输入到放大电路中,观察输出信号波形。

电子系统设计实验报告

电子系统设计实验报告

实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。

三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。

实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。

而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。

计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。

2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。

电子系统设计实验报告

电子系统设计实验报告

电子系统设计实验报告电子系统设计实验报告引言:电子系统设计是现代科技领域中非常重要的一部分,它涉及到电子元件、电路设计、信号处理等多个方面的知识。

本次实验旨在通过设计一个简单的电子系统来加深对电子系统设计的理解和掌握。

实验目的:本次实验的目的是设计一个基于Arduino的温度监测系统。

通过该系统,能够实时监测环境温度并将数据显示在LCD屏幕上。

实验器材:1. Arduino开发板2. 温度传感器3. LCD显示屏4. 连接线等实验步骤:1. 首先,将温度传感器与Arduino开发板连接。

将传感器的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将信号引脚连接到Arduino的A0引脚。

2. 接下来,连接LCD显示屏。

将显示屏的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将SDA引脚连接到A4引脚,SCL引脚连接到A5引脚。

3. 在Arduino开发环境中编写代码。

首先,需要包含所需的库文件,如LiquidCrystal_I2C库和Wire库。

然后,定义温度传感器引脚和LCD显示屏的相关参数。

接着,在setup函数中初始化LCD显示屏,并设置显示屏的列数和行数。

在loop函数中,通过调用温度传感器库函数获取环境温度,并将其显示在LCD屏幕上。

4. 将Arduino开发板与电脑连接,并上传代码到开发板上。

5. 实验完成后,观察LCD屏幕上的温度显示,确保温度监测系统正常工作。

实验结果:经过实验,我们成功设计并实现了一个基于Arduino的温度监测系统。

该系统能够准确地测量环境温度,并将数据实时显示在LCD屏幕上。

通过该系统,我们可以方便地监测环境温度的变化。

实验总结:通过本次实验,我们对电子系统设计有了更深入的了解。

我们学会了如何使用Arduino开发板和相关传感器进行电子系统的设计。

同时,我们也掌握了如何编写代码并将其上传到开发板上。

这些技能对于今后从事电子系统设计工作将非常有帮助。

电子产品设计实验实验报告

电子产品设计实验实验报告

电子产品设计实验实验报告一、实验目的本次实验旨在通过设计和实现一个电子产品,掌握电子产品开发的基本流程和方法,并熟悉常用的电子元器件和电路设计工具。

二、实验原理1. 电子产品设计流程:电子产品设计一般包括需求分析、电路设计、PCB设计、嵌入式程序编写、测试和调试等阶段。

2. 电子元器件的选择和应用:在设计电子产品时,我们需要根据特定的功能需求选择合适的电子元器件,例如微控制器、传感器、电源管理芯片等,并根据其功能特性和规格书进行电路设计。

3. 电路设计工具的使用:常用的电路设计工具有Protel、Altium Designer、Eagle等,我们可以通过这些工具进行电路原理图和PCB布局设计。

三、实验过程本次实验以设计和实现一个温湿度监测器为例,具体步骤如下:1. 需求分析:根据实验要求和功能需求,确定设计一个能够测量环境温度和湿度的电子产品。

2. 电路设计:根据需求分析,选用适当的传感器、微控制器和显示装置等元器件。

设计温湿度传感器与微控制器之间的接口电路,包括模拟信号的采集和数字信号的处理。

3. PCB设计:利用电路设计工具进行PCB布局设计,包括元器件的布置和线路的连接。

需要注意避免线路的干扰和交叉。

4. 嵌入式程序编写:根据设计的电路和硬件资源,使用相应的开发软件进行嵌入式程序编写。

编写程序实现温湿度数据的采集和显示。

5. 测试和调试:将设计好的电子产品进行组装和调试。

通过测试验证设计的功能是否符合预期,如测量精度、显示准确性等。

四、实验结果经过设计和测试,我们成功实现了一个温湿度监测器。

该产品能够准确地测量环境的温度和湿度,并通过显示屏进行实时显示。

五、实验总结与展望通过本次实验,我们深入了解了电子产品设计的基本流程和方法,掌握了电子元器件的选择和应用技巧,熟悉了常用的电路设计工具和嵌入式程序编写方法。

在今后的学习和实践中,我们将进一步提高电子产品设计的能力,不断探索和创新,设计出更加优秀和实用的电子产品。

电子产品设计实验报告

电子产品设计实验报告

电子产品设计实验报告引言本实验旨在设计一款电子产品,并进行相应的测试和分析,评估其性能和可行性。

本报告将详细介绍设计的背景、目标、方法、实验结果以及结论。

设计背景电子产品在现代生活中起着重要的作用,如智能手机、电脑等。

为了满足人们对新技术和便利性的要求,我们决定设计一种新型电子产品,以提高用户体验和功能性。

设计目标我们的设计目标是开发一款小型、便携式的智能音箱。

该音箱具有语音助手功能、蓝牙连接以及高音质输出等特性。

我们希望通过该设计,为用户提供便捷的音乐播放和其他信息服务。

设计方法为了实现设计目标,我们采取了以下步骤:1. 确定需求:调研市场和用户需求,明确智能音箱的功能和特性。

2. 设计架构:确定所需的硬件和软件组件,并搭建系统的整体架构。

3. 硬件选择:选择合适的电子元件、主控芯片和音频输出设备。

4. 软件开发:编写控制音箱功能的软件程序,并开发语音助手的人工智能算法。

5. 原型制作:制作音箱的实物原型,并进行必要的调试和优化。

6. 功能测试:对音箱的各项功能进行测试和验证,包括语音识别、蓝牙连接和音质输出等。

7. 用户反馈:邀请用户使用音箱并收集反馈意见,以便进一步改进设计。

实验结果经过设计和测试,我们成功开发了一款小型智能音箱。

该音箱具备以下特点和功能:- 支持语音助手:用户可以通过语音指令进行音乐播放、天气查询等操作。

- 蓝牙连接:用户可以通过蓝牙与其他设备进行无线连接,方便传输和分享音频文件。

- 高音质输出:音箱具备高品质的音频输出,提供清晰、逼真的音乐体验。

- 便携式设计:音箱体积小巧,方便携带和放置在任何空间。

结论通过本次实验,我们成功设计并开发了一款性能良好的智能音箱。

该音箱具备先进的语音助手功能、蓝牙连接和高音质输出等特点,能够满足用户的音乐播放和信息查询需求。

进一步的改进和优化可使该产品更加出色,提升用户体验。

电子课程实验报告总结(3篇)

电子课程实验报告总结(3篇)

第1篇一、实验背景随着现代教育技术的发展,电子课程作为一种新型的教学模式,在我国得到了广泛的应用。

本实验旨在通过电子课程的学习,使学生掌握电子技术的基本原理和实践技能,提高学生的动手能力和创新意识。

本次实验课程主要包括数字电路、模拟电路、单片机应用技术等内容。

二、实验目的1. 理解电子技术的基本概念和原理;2. 掌握电子电路的组成和基本分析方法;3. 熟悉常用电子元器件的性能和选用方法;4. 提高动手能力和创新意识,培养团队协作精神。

三、实验内容1. 数字电路实验- 逻辑门电路实验:验证逻辑门电路的功能和特性;- 组合逻辑电路实验:设计简单的组合逻辑电路,如编码器、译码器、加法器等;- 时序逻辑电路实验:设计简单的时序逻辑电路,如计数器、寄存器等。

2. 模拟电路实验- 基本放大电路实验:研究放大电路的性能和特性;- 运算放大器电路实验:设计运算放大器电路,实现放大、滤波、整流等功能;- 模拟信号处理实验:研究模拟信号的处理方法,如放大、滤波、调制等。

3. 单片机应用技术实验- 单片机基本原理实验:了解单片机的结构、工作原理和编程方法;- 单片机接口技术实验:学习单片机与外围设备(如键盘、显示器、传感器等)的接口技术;- 单片机控制实验:设计简单的控制系统,如温度控制、光照控制等。

四、实验过程1. 准备阶段- 熟悉实验设备、工具和元器件;- 理解实验原理和步骤;- 制定实验方案。

2. 实施阶段- 按照实验步骤进行操作,观察实验现象;- 记录实验数据,分析实验结果;- 对实验中出现的问题进行讨论和解决。

3. 总结阶段- 分析实验数据,得出实验结论;- 总结实验过程中的经验教训;- 撰写实验报告。

五、实验结果与分析1. 数字电路实验- 通过实验验证了逻辑门电路的功能和特性;- 设计的简单组合逻辑电路能够实现预期的功能;- 时序逻辑电路设计合理,能够满足实际应用需求。

2. 模拟电路实验- 基本放大电路性能稳定,能够实现预期的放大效果;- 运算放大器电路设计合理,能够实现多种功能;- 模拟信号处理实验效果良好,达到了预期目标。

电子技术课程设计实验报告

电子技术课程设计实验报告

电子技术课程设计实验报告摘要:本实验报告旨在介绍电子技术课程设计实验的过程、方法和结果。

通过课程设计实验,学生将能够深入理解电子技术的相关概念和原理,并通过实际操作实现电子电路的设计与调试。

本实验报告将分为以下几个部分进行论述:引言、实验设计、实验步骤、实验结果与分析以及实验总结。

1. 引言电子技术是现代通讯、电力等领域的基础,通过开展电子技术课程设计实验,我们可以更好地理解电子电路的工作原理,培养我们的实际操作能力和创新思维。

本次电子技术课程设计实验的目标是设计并实现一个特定功能的电子电路,通过实验过程和结果来验证和分析设计的合理性。

2. 实验设计我们选择了一个简单的电子电路设计任务:设计一个LED流水灯电路。

该电路由多个LED按照一定的顺序依次亮起和熄灭,形成流水灯效果。

为了实现这一功能,我们将使用以下组件和元件:Arduino开发板、蜂鸣器、电阻、电容、开关等。

3. 实验步骤3.1 准备工作首先,我们需要准备所需的实验材料和设备。

包括Arduino开发板、LED灯、蜂鸣器等电子元件,以及杜邦线、面包板等实验工具。

3.2 电路连接将所需的元件根据电路图连接在面包板上。

确保电路连接正确,无误。

3.3 编程使用Arduino开发板的编程软件,编写相应的代码,控制LED灯的亮灭顺序,实现流水灯效果。

3.4 调试将编写好的代码上传到Arduino开发板上,并通过调试检查电路连接是否正常,灯的亮灭效果是否符合要求。

根据需要进行适当的调整。

4. 实验结果与分析经过实验,我们成功设计并实现了一个功能完备的LED流水灯电路。

该电路可以使多个LED灯按照一定的顺序依次亮起和熄灭,形成流水灯效果。

通过实验结果的观察和分析,我们发现实验电路的亮灭顺序与我们预期的设计一致,符合设计要求。

5. 实验总结本次电子技术课程设计实验使我们对电子电路的设计与调试有了更深入的了解。

我们通过实践巩固了电子技术的相关知识和理论,并培养了解决实际问题的能力。

电子实验报告实训总结

电子实验报告实训总结

一、前言随着科技的飞速发展,电子技术已成为现代科技的核心领域之一。

为了提高自身实践能力和动手操作能力,我参加了为期两周的电子实验实训。

在这段时间里,我不仅掌握了电子元器件的基本知识,还学会了电路的搭建、调试和故障排查。

以下是我在实训过程中的总结。

二、实训内容1. 电子元器件识别与检测实训初期,我们学习了常用电子元器件(如电阻、电容、电感、二极管、三极管等)的识别方法和检测技巧。

通过实际操作,我对各类元器件的物理特性和电气参数有了更深入的了解。

2. 电路搭建与调试在掌握了元器件知识后,我们开始进行电路搭建。

实训过程中,我们学习了电路图的阅读、焊接技术、连线方法等。

在搭建过程中,我们遇到了许多问题,如元件焊接错误、电路连接错误等。

通过查阅资料、请教老师和同学,我们逐步解决了这些问题。

3. 电子电路调试与故障排查电路搭建完成后,我们进行电路调试。

在调试过程中,我们学会了使用示波器、万用表等工具对电路进行测试,确保电路性能达到预期。

同时,我们还学习了故障排查方法,能够迅速定位并解决电路故障。

4. PCB设计与制作实训后期,我们学习了PCB(印刷电路板)的设计与制作。

通过学习Altium Designer等软件,我们掌握了PCB布局、布线、元件封装等技巧。

此外,我们还学会了使用丝印机、钻孔机等设备进行PCB制作。

三、实训收获1. 知识收获通过实训,我对电子技术有了更全面的认识,包括电子元器件、电路搭建、调试与故障排查、PCB设计与制作等方面的知识。

2. 技能提升实训过程中,我掌握了电路搭建、调试与故障排查等技能,提高了自己的动手操作能力。

同时,我还学会了使用示波器、万用表等电子测量仪器。

3. 团队协作在实训过程中,我们经常需要团队合作完成电路搭建和调试任务。

通过沟通与协作,我们学会了如何与他人共同解决问题,提高了团队协作能力。

4. 问题解决能力在实训过程中,我们遇到了许多问题,如元件焊接错误、电路连接错误等。

电子课程设计实验报告

电子课程设计实验报告

电子课程设计实验报告一、教学目标本课程的教学目标是使学生掌握电子课程设计的基本原理和方法,培养学生运用电子技术解决实际问题的能力。

具体目标如下:1.知识目标:学生能够理解电子元件的工作原理,掌握基本电路图的绘制方法,了解电子电路的仿真与实验方法。

2.技能目标:学生能够运用所学知识分析和解决电子电路设计中的问题,具备电子电路组装、调试和测试的能力。

3.情感态度价值观目标:培养学生对电子技术的兴趣和好奇心,增强学生的创新意识和团队合作精神,使学生认识到电子技术在现代社会中的重要作用。

二、教学内容本课程的教学内容主要包括电子元件的基本原理、电子电路图的绘制、电子电路的仿真与实验。

具体安排如下:1.电子元件:介绍半导体器件、电阻、电容、电感等基本元件的工作原理和特性。

2.电子电路图:教授电路图的绘制方法,包括元件符号、线路连接、信号 flowchart 等。

3.电子电路仿真:学习使用电路仿真软件,对电子电路进行仿真分析,观察电路性能。

4.实验操作:进行电子电路的组装、调试和测试,培养学生的动手能力。

三、教学方法为实现教学目标,本课程将采用以下教学方法:1.讲授法:教师讲解电子元件的基本原理、电子电路图的绘制方法等基础知识。

2.讨论法:学生针对电路设计中的问题进行讨论,促进学生思考和交流。

3.案例分析法:分析实际案例,使学生了解电子技术在工程中的应用。

4.实验法:学生动手进行电子电路的组装、调试和测试,巩固所学知识。

四、教学资源为支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:选用权威、实用的教材,为学生提供系统、全面的知识体系。

2.参考书:提供相关领域的参考书籍,丰富学生的知识储备。

3.多媒体资料:制作精美的PPT、教学视频等,提高学生的学习兴趣。

4.实验设备:准备充足的实验设备,确保每个学生都能动手进行实验。

五、教学评估为全面、客观地评估学生的学习成果,本课程将采用以下评估方式:1.平时表现:评估学生在课堂上的参与度、提问回答等情况,反映学生的学习态度和积极性。

电子设计实训实验报告

电子设计实训实验报告

一、实验目的1. 掌握电子设计的基本流程和常用工具;2. 熟悉电子元器件的识别、选用和焊接技术;3. 提高动手能力,培养解决实际问题的能力;4. 增强团队合作意识,提高团队协作能力。

二、实验内容本次实验共分为六个部分,分别是:1. LED流水灯设计;2. 数码管动态显示;3. 数字时钟(计数器);4. 正弦信号发生器设计;5. 任意波形发生器设计;6. 硬件消抖电路设计。

三、实验原理1. LED流水灯设计:通过单片机控制LED灯的亮灭,实现流水灯效果。

原理是利用单片机的定时器产生一个周期性的方波信号,通过控制方波的占空比来改变LED 灯的亮灭时间,从而实现流水灯效果。

2. 数码管动态显示:通过单片机控制数码管显示数字。

原理是利用单片机的定时器产生一个周期性的方波信号,通过控制方波的占空比来改变数码管上各个段码的亮灭,从而实现数字的动态显示。

3. 数字时钟(计数器):通过单片机实现一个简单的数字时钟。

原理是利用单片机的定时器产生一个周期性的方波信号,通过计数器对时钟信号进行计数,得到当前时间。

4. 正弦信号发生器设计:通过单片机产生正弦波信号。

原理是利用单片机的定时器产生一个周期性的方波信号,通过查表法得到正弦波信号。

5. 任意波形发生器设计:通过单片机产生任意波形信号。

原理是利用单片机的定时器产生一个周期性的方波信号,通过改变占空比和波形参数,得到所需的任意波形信号。

6. 硬件消抖电路设计:通过设计硬件电路,消除按键输入信号中的抖动。

原理是利用电容和电阻组成的RC电路,对输入信号进行滤波,消除抖动。

四、实验器材1. 单片机开发板;2. LED灯;3. 数码管;4. 按键;5. 电容、电阻、三极管等常用电子元器件;6. 万用表;7. 焊接工具;8. 电路板等。

五、实验步骤1. LED流水灯设计(1)搭建电路:将LED灯与单片机的IO口相连,设置IO口为输出模式。

(2)编写程序:编写单片机程序,实现LED流水灯效果。

电子设计实验报告

电子设计实验报告

电子设计实验报告电子设计实验报告引言电子设计实验是电子工程专业的基础实验之一,通过实践操作和实验数据的分析,帮助学生巩固和拓展所学的电子设计理论知识。

本文将对我在电子设计实验中的实验内容、实验过程和实验结果进行详细阐述。

实验内容本次电子设计实验的主题是“放大器设计与实现”。

实验要求我们设计并实现一个特定功能的放大器电路,并通过实验数据验证其性能指标。

放大器是电子设备中非常重要的一种电路,它能够将输入信号放大到所需的幅度,并保持其波形不失真。

在实验中,我们需要选择合适的放大器类型、电路拓扑和元器件参数,以满足给定的放大倍数、频率响应和失真要求。

实验过程首先,我们在实验前进行了必要的理论学习,包括放大器的基本原理、不同类型放大器的特点和应用场景等。

然后,我们根据实验要求选择了适合的放大器类型和电路拓扑,并设计了相应的电路图。

在设计过程中,我们需要考虑电路的稳定性、抗干扰能力和功耗等因素,以及元器件的可获得性和成本等因素。

接下来,我们准备了所需的元器件和实验设备,并进行了电路的组装和连接。

在组装过程中,我们需要注意元器件的正确安装和连接,以及电路的可靠性和稳定性。

一旦电路组装完成,我们就可以进行实验测试了。

在实验测试中,我们首先对电路进行了静态工作点的调整,以确保电路在正常工作范围内。

然后,我们通过信号发生器输入不同频率和幅度的信号,测量输出信号的幅度、相位和失真程度等参数。

通过对实验数据的分析,我们可以评估电路的增益、带宽、噪声和非线性失真等性能指标,以及对不同频率信号的放大效果。

实验结果根据实验数据的分析,我们得出了以下结论:1. 电路的放大倍数在设计要求范围内,并且在整个频率范围内保持相对稳定。

2. 电路的频率响应满足要求,能够在给定的频率范围内放大信号。

3. 电路的失真程度较低,能够保持输入信号的波形基本不失真。

4. 电路的噪声水平较低,对输入信号的干扰较小。

结论通过本次电子设计实验,我深入理解了放大器的工作原理和设计方法,并通过实践操作和实验数据的分析,巩固了所学的电子设计理论知识。

电子电路设计实验报告

电子电路设计实验报告

电子电路设计实验报告
实验目的
本实验的目的是通过设计和搭建多种电子电路,验证和应用电路设计的原理和知识。

实验材料
- 电子元器件:电阻、电容、二极管、晶体管等
- 工具:示波器、万用表、电源等
实验步骤
1. 根据实验指导书给出的电路图,搭建基本电子电路。

2. 使用万用表和示波器对电路进行测量和观察。

3. 调整电路参数,观察电路的变化和性能。

4. 记录实验数据,并进行数据分析和处理。

实验结果
通过实验的搭建和观察,我们验证了电子电路设计的原理和知识。

通过调整电路参数,我们观察到了电路的不同性能表现,并记录了相应的实验数据。

实验结论
本实验对我们加深了对电子电路设计的理解,可以更好地将理
论知识应用于实际电路设计中。

同时,通过实验的数据分析和处理,我们可以得出一些结论和启示,进一步完善和优化电路设计的方法
和策略。

注意事项
- 在搭建电路时,需按照实验指导书给出的电路图进行操作。

- 在实验过程中,保持仪器的正确使用和操作。

- 记录实验数据时,要准确、清晰地记录相关数据,方便后续
的数据分析。

电子设计(EDA)实验报告(4位二进制加法器)

电子设计(EDA)实验报告(4位二进制加法器)

电子设计(EDA)实验报告(4位二进制加法器)一、实验名称4位二进制加法器二、实验目的掌握输入编辑原理图文件的方法;掌握编译原理图文件的方法;掌握仿真原理图文件的方法;理解Quartus 2 器件编程的方法三、实验环境计算机与Quartus 2 工具软件四、实验原理图、源程序entity halfadd isport(a1,b1:in bit;s1,c1:out bit);end ;architecture a of halfadd isbeginprocess(a1,b1)begins1<=a1 xor b1 after 10ns;c1<=a1 and b1 after 10ns;end process;end a;entity orgate isport(a,b:in bit;o:out bit);end orgate;architecture a of orgate isbegino<=a or b;end a;entity fulladd isport(i1,i2,c_in:in bit;fs,c_out:out bit);end ;architecture a of fulladd issignal temp_s,temp_c1,temp_c2:bit; component halfaddport(a1,b1:in bit;s1,c1:out bit);end component;component orgate port(a,b:in bit;o:out bit);end component;beginu0:halfadd port map(i1,i2,temp_s,temp_c1);u1:halfadd port map(temp_s,c_in,fs,temp_c2); u2:orgate port map(temp_c1,temp_c2,c_out); end a;entity add4 isport(a,b:in bit_vector(3 downto 0);cin:in bit;fs:out bit_vector(3 downto 0);cout:out bit);end add4;architecture a of add4 issignal temp_co0,temp_co1,temp_co2:bit; component fulladd isport(i1,i2,c_in:in bit;fs,c_out:out bit);end component;beginu0:fulladd port map(a(0),b(0),cin,fs(0),temp_co0);u1:fulladd port map(a(1),b(1),temp_co0,fs(1),temp_co1);u2:fulladd port map(a(2),b(2),temp_co1,fs(2),temp_co2);u3:fulladd port map(a(3),b(3),temp_co2,fs(3),cout);end a;五、实验波形图及分析延迟12.08ns。

电子电路设计实验报告

电子电路设计实验报告

电子电路设计实验报告电子线路专题实验Ⅱ一、实验要求:1. 认真阅读学习系统线路及相关资料2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。

3. 编程实现将日历、时钟显示在LED显示屏上〔注意仔细阅读PCF8563资料〕,日历、时钟轮回显示。

4. 利用D/A转换通道〔下行通道〕实现锯齿波发生器;输出〔1~5V〕固定电压转换成〔4~20mA〕电流。

5. 利用A/D转换通道〔上行通道〕实现数据采集,将采集信号显示在LED屏上。

程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。

6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。

二、实验设计思路:本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。

受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库〔放在library文件夹下〕,尽量做到调用时与底层硬件无关。

通过调用库文件中的函数,实现代码的重用性。

键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数〔与底层硬件无关的函数〕方便地移植过来。

三、实验设计:1.矩阵键盘扫描模块4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。

函数GetKey()实现获得按键的键值。

对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。

对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,假设非零,说明此行有键按下,最终确定键值。

通过调用GetKey函数构造GetChar()函数,实现获取键盘字符〔’0’~’F’〕的功能。

通过调用GetChar()函数构造GetDec()函数,实现获取键盘输入整数的功能,整数范围在0~99999。

有按’C’键回退一格,按’E’清空当前未完输入,按’F’键结束输入的功能。

最新《电子课程设计》实验报告指尖陀螺实验报告

最新《电子课程设计》实验报告指尖陀螺实验报告

最新《电子课程设计》实验报告指尖陀螺实验报告实验目的:1. 掌握电子电路设计的基本方法和步骤。

2. 学习使用电子元器件搭建实际电路。

3. 理解指尖陀螺的工作原理及其稳定性。

实验原理:指尖陀螺是一种利用角动量守恒原理保持稳定的小型玩具。

当指尖陀螺在手指上旋转时,其内部的飞轮产生足够的角动量,使得陀螺保持稳定。

本实验通过设计一个简单的电子电路来驱动指尖陀螺的电机,并通过调节电路参数来优化陀螺的稳定性和旋转时间。

实验设备:1. 微控制器开发板(如Arduino)2. 直流电机3. 锂电池及充电模块4. 指尖陀螺外壳和轴承5. 电阻、电容等电子元器件6. 焊接工具和导线7. 电源适配器实验步骤:1. 设计电子电路:根据指尖陀螺的工作原理,设计一个能够提供稳定电压和电流的电源电路,以及一个用于控制电机转速的驱动电路。

2. 搭建电路:按照设计图,使用焊接工具和导线将电子元器件焊接在一起,形成完整的电路。

3. 调试电机转速:通过调节微控制器的PWM输出,控制电机的转速,直至找到使指尖陀螺最稳定的转速。

4. 组装指尖陀螺:将电机安装在指尖陀螺的外壳中,并确保轴承的顺畅旋转。

5. 测试稳定性:在手指上测试指尖陀螺的稳定性,观察其旋转情况,并进行必要的调整。

实验结果:通过实验,我们成功设计并搭建了一个指尖陀螺的电子电路。

在调试过程中,我们发现电机的转速对指尖陀螺的稳定性有显著影响。

经过多次调整,我们找到了一个合适的转速范围,使得指尖陀螺能够在手指上稳定旋转较长时间。

实验结果表明,设计的电路和指尖陀螺结构能够有效地保持旋转稳定。

实验结论:本实验通过对电子电路的设计、搭建和调试,加深了对电子课程设计基础知识的理解。

同时,通过实践操作,提高了动手能力和解决实际问题的能力。

指尖陀螺的成功制作和稳定旋转验证了实验设计的可行性和有效性。

电子课程设计实验报告

电子课程设计实验报告

电子课程设计实验报告一、课程目标知识目标:1. 让学生掌握电子课程设计的基本原理和方法,理解电路图的构成和功能。

2. 培养学生运用电子元器件设计简单电路的能力,了解常见电子元器件的特性和应用。

3. 使学生掌握基本的电路分析方法,如等效电路、节点电压法等。

技能目标:1. 培养学生动手操作能力,能够正确使用电子仪器、设备和工具进行电路搭建和调试。

2. 培养学生运用所学知识解决实际问题的能力,能够根据需求设计简单的电子电路。

3. 提高学生的团队协作能力,学会在小组内部分工合作,共同完成课程设计任务。

情感态度价值观目标:1. 激发学生对电子科学的兴趣,培养其探索精神和创新意识。

2. 培养学生严谨、细致的学习态度,养成科学、规范的操作习惯。

3. 引导学生关注电子技术在日常生活中的应用,认识到科技对生活的影响,培养其社会责任感。

本课程针对初中年级学生,结合课程性质、学生特点和教学要求,将课程目标分解为具体的学习成果。

在教学过程中,注重理论与实践相结合,充分调动学生的积极性,培养其动手实践能力和创新能力。

通过课程学习,使学生能够掌握电子课程设计的基本知识和技能,为后续学习打下坚实基础。

同时,注重培养学生的情感态度价值观,使其在学习过程中形成正确的价值观和人生观。

二、教学内容1. 电子元器件认知:介绍常用电子元器件(如电阻、电容、二极管、三极管等)的结构、原理、符号及参数,使学生了解各元器件的作用和相互关系。

2. 电路原理及分析方法:讲解电路基本原理,包括欧姆定律、基尔霍夫定律等,引导学生掌握等效电路、节点电压法等电路分析方法。

3. 电子电路设计:结合教材相关章节,指导学生设计简单的电子电路,如放大器、滤波器等,培养学生动手实践能力。

4. 电路搭建与调试:教授学生如何使用电子仪器、设备和工具进行电路搭建,学会调试和排故,提高实际操作能力。

5. 电子技术应用:分析电子技术在日常生活中的应用实例,让学生认识到所学知识在实际生活中的价值。

电子实验报告结论(3篇)

电子实验报告结论(3篇)

第1篇实验名称:XXX电子电路实验实验日期:XXXX年XX月XX日实验地点:XXX实验室一、实验目的本次实验旨在通过搭建XXX电子电路,验证电路原理,掌握电路元件的特性和应用,提高学生对电子电路设计和调试的能力。

二、实验原理本次实验所涉及的XXX电子电路,其基本原理为XXX。

具体来说,电路通过XXX元件实现XXX功能,其工作过程如下:1. XXX元件的输入信号经过XXX处理,转换为XXX信号;2. XXX信号通过XXX元件,进行XXX操作;3. 处理后的信号通过XXX元件输出,实现XXX功能。

三、实验内容及步骤1. 搭建实验电路:根据实验原理图,将电路元件按照要求连接起来,确保电路连接正确无误。

2. 测试电路性能:使用示波器、万用表等仪器对电路进行测试,观察电路输出信号是否符合预期。

3. 分析实验数据:对实验数据进行整理和分析,找出电路性能的优缺点。

4. 调试电路:根据实验结果,对电路进行调试,优化电路性能。

四、实验结果与分析1. 电路性能测试结果:实验结果显示,电路输出信号稳定,符合预期。

通过示波器观察,信号波形清晰,无明显失真。

2. 电路性能分析:a. 电路整体性能良好,达到了实验目的;b. 电路元件选择合理,性能稳定;c. 电路布局合理,布线清晰,便于维护;d. 电路调试过程中,发现XXX元件存在一定程度的干扰,需进一步优化。

五、实验结论1. 通过本次实验,成功搭建了XXX电子电路,验证了电路原理,掌握了电路元件的特性和应用。

2. 实验结果表明,所搭建的电路性能稳定,输出信号符合预期。

但在调试过程中,发现部分元件存在干扰,需进一步优化。

3. 本次实验提高了学生对电子电路设计和调试的能力,为后续深入学习电子电路技术奠定了基础。

4. 针对实验中发现的问题,提出以下改进措施:a. 优化电路布局,降低元件干扰;b. 选用更高性能的元件,提高电路整体性能;c. 加强对电路原理的理解,提高电路设计水平。

电子设计课实验报告内容及要求

电子设计课实验报告内容及要求

《电子设计》课实验报告内容及要求实验报告是实验的全面总结,是再提高的过程,每个同学应重视实习总结,及时做好数据及现象记录,仔细分析故障原因,学会分析与处理简单的电路故障,认真独立撰写实习报告。

1、实验报告内容实验名称、实习日期、学院、专业、班级、姓名、学号。

实验目的:简明地概述本实习通过何种方法,训练哪些技能,达到要求等。

实验用仪器设备:列出完成实习所需的仪器与设备的型号、规格或技术参数;有关工具;材料;以及元件清单。

实验电路:画出实习电路图,标明元器件参数。

实验步骤:(1)元器件的检测;(2)元器件的整形、插装;(3)元器件的焊接;(4)电路板检测、故障现象和检修过程记录;(5)电路板的调试。

实习数据记录与结果分析:记录实习过程的各种数据,并对实习结果进行说明及质量分析。

实习心得:分析讨论实习中的收获及存在的问题,不小于300字。

致谢2、实验报告写作要求(1)独立思考,不得抄袭他人的实验报告;(2)字迹工整,书写规范,表格和电路图要用直尺画好,最好交打印稿;(3)按时完成,不得迟交、缺交实验报告;(4)字数不小于2000字。

《电子设计》课实验报告教育科学系教育技术专业0701班向威200709001122一、实验名称:直流稳压电源的设计与制作二、实验目的1、掌握直流稳压电源的工作原理;2、了解直流稳压电源的原理图和PCB的设计方法;3、熟练使用有关仪器仪表,能够正确测试电子元器件;4、掌握元器件的整形、插装和焊接方法与技巧;5、掌握直流稳压电源的的调试方法6、培养对直流稳压电源的设计、制作、典型故障分析和检修的初步能力。

三、实验仪器四、直流稳压电源电原理图五、元器件清单六、直流稳压电源PCB图七、稳压电路的工作原理220V交流电压经变压器降压后,其次级电压变为12V。

二极管D1~D4,电容C1实现桥式整流电容滤波。

LM317为三端可调式正电压输出集成稳压器,其输出端2与调整端1之间为固定不可变的基准电压1.25V(在LM317内部)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

台灯自动开关控制电路设计设计报告设计任务设计制作一个台灯自动开关控制电路设计设计要求(1).设计并制作一个台灯自动开关盒,以实现“人来灯亮,人走灯灭,光照灯灭”等节电功能;(2).台灯盒有交流电源输入线和交流电源输出插座,输出可接额定电压220V,功耗不大于60W的台灯;(3).具有两个传感器,即:(1)光敏传传感器。

将其安装在开关盒适当的地方,作为检测光照;(2)对人体敏感的传感器,感应人体接近;(4).自动开关盒做好后,将台灯的电源插头插入开关盒的电源输出插座,便可实现如下功能:(1)在晚上,当没有足够的光源照到光敏元件上时,若有人靠近台灯,它便自动发光,人离开台灯后,能自动断开电源;(2)在白天有足够强的光线(以适合于阅读为标准)照射到光敏元件上时,无论人靠近台灯,它都不会亮;一. 方案选择及电路的工作原理为了实现智能开关自动调光的目的,准备了以下方案:方案一:首先,灯亮的前提是时间为晚上,所以首先要检测是白天还是晚上,通过光线强弱的不同来判定。

运用光敏电阻来感应光线的变化。

当白天光线强时,光敏电阻的阻值较小;而当晚上光线较弱时,其电阻阻值较大。

通过阻值的变化所引起的一系列变化来确定白天还是黑夜。

运用红外发射接收对管检测人是否进入所在范围。

在人进入之前,由于红外发射和接收管并行排列,接收管没有接收到红外信号,其电阻阻值很大;当人进入后,发射管发出的红外信号被人体反射,当接收管接收之后电阻阻值变小。

通过阻值的变化引起电路中其他变量的变化来确定人是否在所测范围。

最后运用与门来使电路达到当天黑同时又有人时台灯自动打开。

方案二:对于光线感应部分与方案一相同,而在人体检测的部分则改用红外感应器LHI907,它是利用红外技术,当人靠近时,里面的电路发生变化,输出信号。

当红外感应器检测到有人靠近时,输出一个高电平。

二.单元电路设计计算与元器件的选择光敏电阻部分:要实现有光时输出低电平,黑暗时输出高电平。

连接一个电压比较器如图,光明电阻在黑暗时电阻为几百欧姆至几兆欧姆,而在光照时有几百欧姆至几千欧姆;确保同相输入端有光时为低电平,黑暗时为高电平;要使光照时电流较小,R4不能太小,所以R4取10K 。

不能使光明电阻部分太过于灵敏,反相输入端取输入为3V 左右,又要使电阻电流较小,所以R1与R2分别为20K 、10K;R3为拉升电压电阻,提高输出端电压,应为1K 。

GNDGND红外对射管部分:由于使用555延时器,所以要实现人来输出为低电平,人走输出为高电平;电压比较器在红外接收管没有接收到红外反射时比较器输出为高电平,接收到红外反射时比较器输出为低电平。

电路图如图,连接红外发射管时,加一个电阻以保护红外发射管,要使红外发射管发射红外线较强,电阻不能太大,所以R9取100欧姆。

红外接收管没有接收到红外反射时反向电阻很大,近视无穷,当接收到红外反射时反向导通,为使接收到红外反射时比较器同相输出为低电平。

R8应该较大,为几十至几百千欧,这里取100K。

R7为调节反相输入端的输入电压,R7为电位器。

经仿真反相输入端的输入电压为2V左右。

GND延时部分:由555延时器使用规则可得定时原件R、C,通过R接电源,并通过C接地,有延时时间为T=1.1RC,计算延时时间为11秒,验证结果为12秒。

5脚到地接电容是为了提高比较器参数电压的稳定性。

2脚接红外对射管经比较器的输出。

GND电灯驱动部分:JP1、JP2分别为接电源与接地的接孔。

D2接光敏电阻部分的输出端,D3接延时器的输出端;只有当D2、D3的负极都为高电平时Q1的栅极为高电平,IRF540就导通,灯LED1就亮。

为使IRF540不会损坏,所以R5为10K。

三.设计的具体实现1.系统概述由于要同时满足晚上和人经过两个条件,所以选择与门电路来做。

而与门工作的条件即是电压同时为高电平,所以要让两个感应的部分当有满足条件的信号经过时输出为高电平。

再利用达林顿管驱动,使得小灯泡发光。

而要使得两个感应的的部分同时输出高电平则可以利用电压比较器来做,当白天光敏电阻阻值小时,光敏电阻分压小,输出低电平;相反,当晚上光敏电阻阻值大时所分得的电压大输出高点平。

同理,运用电压比较器,当无人经过时红外接收管阻值较大,分得的电压大输出高电平。

而为了延时运用555定时器所需要的是低电平输入高电平输出,所以当无人经过,电压比较输出高电平时555定时器不工作。

相反,当人经过红外线经过人体反射被红外接收管接收其电阻阻值变小,从而使得通过电压比较器最终输出低电平,使得555定时器工作输出高电平。

并且起到延时的作用。

当两端同时满足条件输出高电平时,经过与门输出高电平使得灯泡发光。

1、人体感应:运用红外发射接收对管检测人是否进入所在范围。

在人进入之前,由于红外发射和接收管并行排列,接收管没有接收到红外信号,其电阻阻值很大;当人进入后,发射管发出的红外信号被人体反射,当接收管接收之后电阻阻值变小。

当电阻很大时,通过LM358所构成的比较器时输出为高电平。

相反,当电阻很小时通过LM358所构成的比较器时输出为低电平。

2、光线感应:运用光敏电阻来感应光线的变化。

当白天光线强时,光敏电阻的阻值较小;而当晚上光线较弱时,其电阻阻值较大。

当电阻很大时,通过LM358所构成的比较器时输出为高电平。

反之,输出为低电平。

3、延时:555定时器作延时,其为低电平输入高电平输出。

所以,在人体感应端,当无人经过,红外管电阻很大时,通过LM358所构成的比较器时输出为高电平,此时的555不工作,对应的与门端为低电平。

相反,当电阻很小时通过LM358所构成的比较器时输出为低电平,此时的555工作,输出高电平。

电路框图:2.单元电路设计、仿真与分析1、人体感应:实际测量值:无人时同相输入端 4.11V反相输入端 2.19V输出端 3.72V有人时同相输入端0.0049V反相输入端 2.19V输出端0.0042V仿真值:有人经过时LM358输出端电压为1.66V,是一个低电平,符合要求。

2、光线感应:实际测量值:白天时同相输入端 1.24V反相输入端 3.35V输出端0.93V黑夜时同相输入端 4.72V反相输入端 3.36V输出端 4.96V仿真值:白天时,比较器LM358输出端的电压为1.66V,是一个低电平符合要求。

3、延时:实际测量值:无人时输入 3.72V输出0.0016V有人时输入0.0043V输出 4.46V555定时器输入0 t/s 555定时器输出t0t1u/v 12s4.46v0.004v0 t/s3.电路的安装与调试错误一:红外发射接收管的错误使用。

在使用过程中已知红外发射接收管的原理为无人时电阻阻值大,而有人经过是阻值小。

但是在当把电路设计完成,用万用表测量时发现当无人经过时比较器LM358输出端电压值为3.95V是高电平,而当有人经过时测得比较器输出端电压值为0.04V是低电平,正好与所需要的电压值相反。

从而看出是红外发射接收管在有人无人时的阻值与理论值相反。

查看相关资料发现我们将红外发射接收管直接对射,从而使得当无人经过时红外接收管直接就接收到红外线从而其电阻小,而当有人经过时红外线被遮挡,从而使得红外接收管阻值变大。

这样就是的正好与所需要的值相反。

正确的是应该将其平行竖直放置。

正确放置后,当无人经过时,测量LM358输出端电压值为3.82V;当有人经过时LM358输出端电压值为0.04V。

符合所需值。

错误二:PCB板线路断开造成短路。

在将所有的电路焊接好后,通电时发现光敏电阻不起作用。

即不遮光敏电阻,只要有人经过红外发射接收管灯泡就发光。

用万用表测量光敏电阻模块所连接的与门端测得电压为5V,而光敏电阻所连接的LM358的同相端电压为:白天1.24V,黑夜为4.72V,达到所需值,在测量LM358的输出端,当白天时电压为0.39V,黑夜为4.96V,也达到所需值。

从而判定为LM358输出端到与门端之间出现了断路。

在用电烙铁将那一段电路用焊锡丝导过一遍后,再进行测量,得到当白天时光敏电阻对应与门端的电压为0.0096V,而黑夜时其电压为4.95V,符合所需值。

错误三:正负极接反在首次将所有调试完成并得到所得结果之后,当重新将电路连接电源时,发现灯泡不工作,且芯片发烫。

用万用表测量发现本该为正极的电压却是一个负值。

而且芯片已经不工作,从而判定是正负极接反且芯片已经被烧坏。

用实验板测量筛选出烧坏的芯片,用好芯片将其跟换后。

将正负极调换过来,接通电路后,灯泡正常工作且所有电压值恢复正常。

五.心得体会及建议通过对这个科目的学习,让我学到了很多使用的设计理论及方法。

当然这里面包括AD软件的使用,电路图的绘制与验证,PCB图的画法及PCB的制作与调试。

这对于以后不管是工程实践,还是毕业设计等一系列工程类实践中都有非常重要的作用。

同时,团队合作非常的重要,特别是好的分工可以使得工作的效率大大提高。

同时,对于一个方案。

我们必须在做PCB之前对其进行验证,从而使得在后期制作的PCB不会出现因为设计方案有问题而不能使用也无法修改。

所以,验证在设计前期非常重要。

而在调试过程中,我们需要学会分模块进行验证测量,通过对每个模块的测量可以很容易得出是哪一个模块出问题,在对该模块进行细化的验证测量,从而找到解决问题。

六.附录①元器件明细表②电路原理图:③PCB图:七.参考文献参考文献的格式如下:《光栅传感器中光电信号转换和处理电路》•雷孔成、于海波•《制造技术与机床》• 2004年1月8日《自动烘手器的原理及检修》•张树弼•《家电检修技术:办公版》• 2006年第1期《一种简易台灯自动开关盒》•朱雪璇•《新余高专学报》• 2004年第5期。

相关文档
最新文档