数据选择器 (1)
四选一数据选择器
数据选择器:
数据选择器(data selector) 根据给定的输入地址代码,从一组输入信号中选出指定的一个送至输出端的组合逻辑电路。
有时也把它叫做多路选择器或多路调制器(multiplexer)。
MUX (数据选择器(multiplexer)):
在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关。
产品规格有4选1数据选择器、8选1数据选择器(型号为74151、74LS151、74251、74LS153)、16选1数据选择器(可以用两片74151连接起来构成)等之分。
如在数字电路中,mux6常指6路开关、mux6to1(mux6_1)常指6选1数据选择器。
多路转换器的作用主要是用于信号的切换。
集成模拟电子开关在小信号领域已成为主导产品,与以往的机械触点式电子开关相比,集成电子开关有许多优点,例如切换速率快、无抖动、耗电省、体积小、工作可靠且容易控制等。
但也有若干缺点,如导通电阻较大,输入电流容量有限,动态范围小等。
因而集成模拟开关主要使用在高速切换、要求系统体积小的场合。
在较低的频段上f<10MHz),集成模拟开关通常采用CMOS工艺制成:而在较高的频段上(f>10MHz),则广泛采用双极型晶体管工艺。
实验一四选一数据选择器的设计
实验一四选一数据选择器的设计实验目的:设计并实现一个四选一数据选择器,可以将四个输入信号中的一个作为输出信号进行传递。
实验原理:四选一数据选择器是多路选择器的一种,主要由输入端、控制端和输出端组成。
输入端有四个信号输入线,控制端有两个控制输入线,输出端有一个信号输出线。
通过控制输入线的不同组合,可以选择其中一个输入信号传递到输出端。
实验材料与器件:1.1片74LS153(二选四数据选择器)芯片2.4个开关按钮3.连接线4.电源线5.示波器(可选)实验步骤:1.连接电路:a. 将芯片74LS153的Vcc引脚连接到正极电源线,将GND引脚连接到负极电源线。
b.将芯片的1A、1B、2A、2B四个输入引脚分别连接到四个开关按钮。
c.将芯片的S0、S1两个控制输入引脚分别连接到两个控制开关按钮。
d.将芯片的Y输出引脚连接到输出信号线。
e.将电源线接入电源插座,通电。
2.设置控制输入:a.初始状态下,所有控制输入引脚都为低电平状态。
b.可以通过控制两个开关按钮的开关状态来改变控制输入引脚的电平。
3.输出结果观测:a.打开示波器,将其输入端连接到芯片的输出引脚,设置为观测模式。
b.通过改变控制输入的电平状态,可以选择不同的输入信号进行输出。
c.观察示波器上的输出信号波形,确保输出信号与选择的输入信号一致。
4.实验记录:a.记录不同控制输入状态下的输入信号及输出信号。
b.通过对比观测结果,验证芯片的正常工作。
实验注意事项:1.连接电路时,注意电源接线正确,避免短路或电路损坏。
2.实验过程中操作电路和仪器时,保持手部干燥,并确保安全。
3.实验完成后,关闭电源,将电路和仪器恢复原状,并整理实验记录。
4.若没有示波器,可以通过观察输出信号线连接的LED灯的亮灭来判断输出信号的状态。
实验结果与讨论:在实验中,我们设计并实现了一个四选一数据选择器,并通过改变控制输入的电平状态来选择不同的输入信号进行输出。
通过观察观测结果,我们可以发现当控制输入引脚的电平状态为低-低时,选择1A作为输出;当为低-高时,选择1B作为输出;当为高-低时,选择2A作为输出;当为高-高时,选择2B作为输出。
数字电子技术基础实验-8选1数据选择器74LS151
8选1数据选择器74LS151简介74LS151是一种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。
74LS151引脚图选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。
(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
74LS151功能表数据选择器的应用数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。
(1)逻辑函数产生器从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数。
式中mi是C、B、A构成的最小项。
显然。
当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。
利用这一点,不难实现组合逻辑函数。
已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。
将数据选择器的地址信号C、B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。
例1 试用8选1数据选择器74LS151产生逻辑函数解:把式变换成最小项表达式:显然D3、D5、D6、D7,都应该等于1,而式中没有出现的最小项m0,m1,m2,m4的控制变量D0、D1、D2、D4都应该等于0,由此可画出该逻辑函数产生器的逻辑图:、例2 试用与上例相同的8选1数据选择器产生从表中可以看出,凡使L值为1的那些最小项,其控制变量应该等于1,即D1、D2、D4、D7等于1(对应XYZ:001、010、100、111),其他控制变量均等于0。
八选一数据选择器组合逻辑电路
八选一数据选择器组合逻辑电路八选一数据选择器组合逻辑电路于1981年被广泛应用于计算机系统的存储器系统及外围设备,得到了迅速地发展。
下面介绍八选一数据选择器组合逻辑电路的基本原理、构成、功能及典型应用:一、基本原理八选一数据选择器包括一个三位二进制控制选择器、八个二路数据选择器及其器件的输出部分及连接开关等组成,具有大规模综合、低功耗、应用范围广、工作温度宽等特点,能够实现任意指定八个位置的内存数据的输入和输出,以达到指定的数据不被改变的目的。
二、构成八选一数据选择器组合逻辑电路由以下几个部分构成:(1)三位控制选择器:它由一组三位二进制控制选择器及其驱动器、连接线等组成,此控制选择器大体分为栅极选择器、触发脉冲产生器、映像脉冲触发器、脉冲电路等。
(2)八路数据选择器:它由八路数据选择器及存储器及其驱动器和连接线等组成,此处的选择器大体分类为数字信号组合器、数据处理器等。
(3)输出部分:它一般由八路输出开关及收发器及其连接线等组成,其中的输出开关在响应控制信号时具有特殊性,从而实现不同信号之间的相位调制转换及数据格式转换等操作。
三、功能八选一数据选择器组合逻辑电路的功能主要分为几个方面:(1)输入功能:它能够实现输入数据的功能,从而实现指定位置的数据的输入。
(2)输出功能:它能够实现指定位置的存储数据的输出。
(3)其他功能:此逻辑电路还具有逻辑加法、四元运算、比较运算与逻辑运算等功能。
四、典型应用八选一数据选择器组合逻辑电路在多种电子设备中得到了应用,下面具体介绍平常经常应用的。
(1)电脑系统:此类设备中用到的最多的是八选一数据选择器,它能够实现在计算机硬件上组合许多数据,以实现多种功能,最引人注目的是两种性能的综合。
(2)视听设备:多媒体设备中也经常应用到八选一数据选择器,例如游戏控制器、数码音箱等,它可以实现在较短的时间内多种功能的输出,从而实现数据的同步和精确度。
(3)卫星导航系统:在卫星导航系统中也经常以八选一数据选择器,它能够在较短的时间内实现各种恒定信号的输出和控制,从而实现精确的方向控制。
[教材]8选1数据选择器74LS151
8选1数据选择器74LS15174LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。
选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。
838电子(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
新艺图库如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
工作原理ab126计算公式大全74LS151功能表:在数字系统中,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。
例如将四位的并行数据送到四选一数据选择器的数据端上,然后在A1,A0地址输入端周期性顺序给出00 01 10 11,则在输出端将输出串行数据,不断重复。
数据选择器除了能从多路数据中选择输出信号外,还可以实现并行数据到串行数据的转换,作函数发生器等。
1.逻辑特性(1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。
通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。
(2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即数据选择器的原理o74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。
选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,为使能端,低电平有效。
图74LS151引脚排列使能端=1时,不论A2~A0状态如何,均无输出(Q=0,=1),多路开关被禁止。
1)使能端=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。
8选1数据选择器74LS151
8选1数据选择器74LS15174LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。
选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。
838电子(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
新艺图库如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
工作原理ab126计算公式大全74LS151功能表:在数字系统中,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。
例如将四位的并行数据送到四选一数据选择器的数据端上,然后在A1,A0地址输入端周期性顺序给出00 01 10 11,则在输出端将输出串行数据,不断重复。
数据选择器除了能从多路数据中选择输出信号外,还可以实现并行数据到串行数据的转换,作函数发生器等。
1.逻辑特性(1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。
通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。
(2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即数据选择器的原理o74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。
选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,为使能端,低电平有效。
图74LS151引脚排列使能端=1时,不论A2~A0状态如何,均无输出(Q=0,=1),多路开关被禁止。
1)使能端=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。
verilog4选一数据选择器原理(一)
verilog4选一数据选择器原理(一)Verilog中的4选1数据选择器简介在数字电路中,数据选择器是一种常见的电路组件,用于从多个数据输入中选择一个输出。
Verilog是一种硬件描述语言,广泛用于数字电路的设计和仿真。
本文将介绍Verilog中的4选1数据选择器的原理和实现方法。
原理4选1数据选择器有4个输入和1个输出。
根据选择信号,从4个输入中选择一个输入作为输出。
选择信号是2位的二进制数,共有4种可能的状态,每种状态对应一个输入。
当选择信号为00时,输出为第一个输入;当选择信号为01时,输出为第二个输入;当选择信号为10时,输出为第三个输入;当选择信号为11时,输出为第四个输入。
逻辑电路图以下是4选1数据选择器的逻辑电路图:______S0 ----| || |S1 ----| |----- Y|______|Verilog实现下面是实现4选1数据选择器的Verilog代码示例:module mux4to1 (input [3:0] D, input [1:0] S, outpu t Y);assign Y = (S[1] & S[0] & D[3]) | (S[1] & ~S[0] & D [2])| (~S[1] & S[0] & D[1]) | (~S[1] & ~S[0] & D[0]);endmodule在上面的代码中,D是4个输入的信号线,S是选择信号线,Y是输出信号线。
根据选择信号的不同状态,使用逻辑运算符进行输入的选取,然后将结果输出到输出信号线Y上。
仿真测试为了验证4选1数据选择器的正确性,可以进行仿真测试。
以下是一个简单的测试示例:module test_mux4to1;// Declare signalsreg [3:0] D;reg [1:0] S;wire Y;// Instantiate the modulemux4to1 mux (D, S, Y);// Stimulusinitial begin// Test case 1D = 4'b0001; S = 2'b00; // Expect Y to be 0 #10;// Test case 2D = 4'b0001; S = 2'b01; // Expect Y to be 0 #10;// Test case 3D = 4'b0001; S = 2'b10; // Expect Y to be 0 #10;// Test case 4D = 4'b0001; S = 2'b11; // Expect Y to be 1 #10;$finish;endendmodule上述代码中,D和S是输入信号,Y是输出信号。
实验一四选一数据选择器的设计
实验⼀四选⼀数据选择器的设计实验⼀四选⼀数据选择器的设计⼀、实验⽬的1、熟悉Quartus II软件的使⽤。
2、了解数据选择器的⼯作原理。
3、熟悉EDA开发的基本流程。
⼆、实验原理及内容实验原理数据选择器在实际中得到了⼴泛的应⽤,尤其是在通信中为了利⽤多路信号中的⼀路,可以采⽤数据选择器进⾏选择再对该路信号加以利⽤。
从多路输⼊信号中选择其中⼀路进⾏输出的电路称为数据选择器。
或:在地址信号控制下,从多路输⼊信息中选择其中的某⼀路信息作为输出的电路称为数据选择器。
数据选择器⼜叫多路选择器,简称MUX。
4选1数据选择器:(1)原理框图:如右图。
D0 、D1、D2、D3 :输⼊数据A1 、A0 :地址变量由地址码决定从4路输⼊中选择哪1路输出。
(2)真值表如下图:(3)逻辑图数据选择器的原理⽐较简单,⾸先必须设置⼀个选择标志信号,⽬的就是为了从多路信号中选择所需要的⼀路信号,选择标志信号的⼀种状态对应着⼀路信号。
在应⽤中,设置⼀定的选择标志信号状态即可得到相应的某⼀路信号。
这就是数据选择器的实现原理。
实验内容1、分别采⽤原理图和VHDL语⾔的形式设计4选1数据选择器2、对所涉及的电路进⾏编译及正确的仿真。
三、实验条件Quartus II实验环境四、实验与仿真原理图:D0 、D1、D2、D3 :输⼊数据A1 、A0 :地址变量由地址码决定从4路输⼊中选择哪1路输出。
(2)真值表如下图:仿真结果:St为功能端。
当st=1时y=0;当st=0时选择器才开始⼯作。
当a1a0=00时y=d0 a1a0=01时y=d1a1a0=10 时y=d2a1a0=11时y=d3完成了四选⼀的功能。
Vhdl编码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mux4 isport(a0,a1,a2,a3:in std_logic;s:in std_logic_vector(1 downto 0);y:out std_logic);end mux4;architecture archmux of mux4 isbeginy<=a0 when s="00" elsea1 when s="01" elsea2 when s="10" elsea3;end archmux;仿真:当s=0时y=a1;当s=1时y=a1;当s=2时y=a2;当s=3时y=a3 。
十六选一数据选择器
《组合逻辑电路的分析与设计》十六选一数据选择器院系:电子与信息工程学院十六选一选择器一、实验目的1、熟悉中规模集成数据选择器的逻辑功能及测试方法。
2、学习用集成数据选择器进行逻辑设计。
二、实验仪器及材料1、数字电路实验箱。
2、数字万用表。
3、数据选择器74LS151两片。
4、导线。
三、实验原理1、8选1数据选择器74LS151的简介74LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。
选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,S为使能端,低电平有效。
(1)使能端S=1时,不论C~A状态如何,均无输出(Y=0,S=1),多路开关被禁止。
(2)使能端S=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
2、74LS151的引脚图如下图(一)所示:图(一)3、74LS151的功能表如下表(一)所示:74LS151功能表:表(一)4、数据选择器数据选择器(multiplexer)又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据传输中选择任何一路信号输出,选择的控制由专列的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、并串转换器、波形产生器等。
5、四选一数据选择器电路如下图图(一)图(一)6、三个地址输入端A2、A1、A0,八个数据输入端D0~D7,如下图图(二)图(二)7、实验时连接图如下图图(三):图(三)五、实验内容1、部分实验图片如下图图(四)和图(五)所示图(四)六、实验结论七、实验心得通过本次实验,使我更加清楚74LS151的使用特点和方法,以及电路连接和诸多电路的实际操作。
让我对数据选择器有了更近一步的认识,对其功能和应用有了更深的理解。
二选一数据选择器报告
EDA实验报告组合电路设计一、实验目的1、熟悉quartusⅡ的VHDL文本设计全过程,2、学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
二、实验内容1、实验内容2:将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。
2、实验内容3:引脚锁定以及硬件下载测试。
选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接spker,通过短路帽选择clock0接256Hz信号,clock5接1024Hz信号,aclock2接8 Hz信号。
最后进行编译、下载和硬件测试实验。
三、实验器材PC机一台、Quartus II软件、EDA实验箱一台、下载电缆一根(已接好)。
四、实验程序实验内容2:三选一library ieee;use ieee.std_logic_1164.all;entity muxk isport(a1,a2,a3: in std_logic;s0,s1: in std_logic;outy: out std_logic);end entity muxk;architecture bhv of muxk iscomponent mux21aport( a,b: in std_logic;s: in std_logic;y: out std_logic);end component;signal tmp: std_logic;beginu1: mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp);u2: mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy);end architecture bhv;五、实验步骤实验二:在实验一的基础上,新建VHDL文件,命名为muxk。
1-14-4数据选择器
1个使能 输入端 8 路数据 输入端
3 个地址 输入端
E D0
D1
D2
D3 D4
D5
D6
D7
S0 1
1
S1 1
1
S2 1
1
&
& &
&
2个互补 输出端
&
≥≥
Y
&
1 11 Y
&
& &
&
74LS151的逻辑图
3、74LS151的功能表
•当E=1时,Y=0。 •当E=0时
输入
输出
使 能
选择
Y
Y
E S2 S1 S0
D3 D2
74LS151
Y
Y m1 m2 m4 m6
D1 D0 E
•当D0 =D3=D5 = D7=1 •D1 =D2=D4= D6=0 时:
Y m0 m3 m5 m7
S2 S1 S0
控制Di ,就可得到不同的逻辑函数。
例1 试用8选1数据选择器74LS151产生逻辑函数
L XYZ XY Z XY
7
Y Di mi
i0
L H L L D4 D4 L H L H D5 D5
L H H L D6 D6
L H H H D7 D7
5、数据选择器74LS151的应用
①数据选择器组成逻辑函数产生器
7
•当E=0时: Y Di mi
D7
i0
D6 D5 D4
•当D0 =D3=D5 = D7=0
Y
•D1 =D2=D4= D6=1 时:
L
解: L XYZ XY Z XY (Z Z) XYZ XY Z XYZ XY Z
二选一数据选择器原理
二选一数据选择器原理在数据处理和分析的过程中,我们经常会遇到需要从两个或多个选项中选择一个的情况。
这就需要用到二选一数据选择器,它能够根据特定的条件从多个选项中选择一个作为输出。
本文将介绍二选一数据选择器的原理及其应用。
二选一数据选择器是一种逻辑电路,它有多个输入端和一个输出端。
根据输入端的条件,选择器会从多个输入信号中选择一个作为输出。
常见的二选一数据选择器包括多路选择器(Multiplexer)和开关(Switch)。
多路选择器是一种常用的二选一数据选择器,它有两个输入端和一个控制端。
当控制端为0时,多路选择器输出第一个输入端的信号;当控制端为1时,多路选择器输出第二个输入端的信号。
多路选择器的原理是利用控制端的信号来选择输出端的信号,从而实现二选一的功能。
开关也是一种常见的二选一数据选择器,它有两个输入端和一个控制端。
当控制端为0时,开关输出第一个输入端的信号;当控制端为1时,开关输出第二个输入端的信号。
开关的原理和多路选择器类似,都是通过控制端的信号来选择输出端的信号。
二选一数据选择器在数字电路和逻辑电路中有着广泛的应用。
例如,它可以用来实现数据的选择和切换,用来选择不同的输入信号进行处理和分析。
在计算机系统中,二选一数据选择器也被广泛用于控制信号的选择和传输,实现数据的多路复用和解复用。
除了在电路中的应用,二选一数据选择器在现实生活中也有着广泛的应用。
例如,智能家居系统中的智能开关就是一种二选一数据选择器,它可以根据用户的控制信号选择不同的电器进行控制。
另外,自动化生产线中的传感器选择器也是一种二选一数据选择器,它可以根据传感器的信号选择不同的操作信号进行控制。
总之,二选一数据选择器是一种非常重要的逻辑电路,它能够根据特定的条件从多个选项中选择一个作为输出。
它在数字电路、逻辑电路和现实生活中都有着广泛的应用,是数据处理和控制领域中不可或缺的一部分。
通过了解二选一数据选择器的原理和应用,我们可以更好地理解和应用它,为数据处理和控制系统的设计和优化提供更多的思路和方法。
数据选择器数据分配器
输入
S A2 A1 A0
1 ××× 0 000 0 001 0 010 0 011 0 100 0 101 0 110 0 111
输出
YY
01 D0 D0 D1 D1 D2 D2 D3 D3 D4 D4 D5 D5 D6 D6
D7 D7
三、数据选择器的扩展
例:将两片74LS151连接成一个十六选一的数据选择器。
– 真值表如下:
D A1 A0 Y0 Y1 Y2 Y3 D0 0 D0 0 0 D0 1 0 D0 0 D1 0 0 0 D0 D1 1 0 0 0 D
–逻辑表达式及逻辑图
Y 0 A1 A0D Y1 A1A0D Y 2 A1 A0D Y 3 A1A0D
本章小结
1.组合逻辑电路的特点是:电路任一时刻的
• 一、1路-4路数据分配器:
• (一)逻辑抽象:
– 输入信号:1路输入数据,用D表示; 2个输入控制信号,A0,A1表示;
– 输出信号:4个数据输出端, 用Y0,Y1,Y2,Y3表示。
– 选择控制信号A1,A0状态约定
• 当A1A0=00时,选中输出端Y0 • 当A1A0=01时,选中输出端Y1 • 当A1A0=10时,选中输出端Y2 • 当A1A0=11时,选中输出端Y3
Y A1 ' A0 ' D0 A1 ' A0D1 A1A0 ' D2 A1A0D3 两者相等的条件是A1 A,A0 C,D0 0,D1 B,D2 B,D3 1
• 4.画连线图 • 按降C排列
数据分配器
• 数据分配器:能够将一个输入数据,根据需要传送到m
个输出端的其中任何一个进行输出的电路,也叫多路分配 器,功能和数据选择器相反。(发牌)
二选一数据选择器
二选一数据选择器目录一:数据选择器的基本原理 (3)二电路逻辑功能 (2)2.1 电路逻辑图 (2)2.2真值表与表达式 (3)2.3电路设计及仿真 (3)三版图设计 (5)3.1总体版图设计及DRC验证 (5)3.1.1数据选择器版图设计步骤 (5)3.1.2版图验证 (8)3.2版图仿真 (9)四数据选择器版图LVS对比 (10)五结论及体会 (12)一:数据选择器的基本原理数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。
它的作用相当于多个输入的单刀多掷开关,其示意图如下:图1 n位通道选择信号数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。
常见的数据选择器有4选1、8选1、16选1电路。
在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端图1-1数据选择器原理图二电路逻辑功能2.1 电路逻辑图=+(S是数据选择控制端,S为0时选择A,为1时选S择B)Y SA SB要实现2选1选择器,逻辑电路图如下所示图2-1数据选择器逻辑电路图2.2真值表与表达式二选一数据选择器逻辑表达式为:Y SA SB =+根据逻辑表达式所列真值表如下图所示图2-2数据选择器真值表图2.3电路设计及仿真根据原理电路图并使用S-Edit 软件设计出数据选择器的电路图及对应符号图如下:S A B Y 01 1 1 1 0 1 0 1 0 0 0 0 1 1 1 1 1 0 0 0 1 1 0图2-3数据选择器符号图根据符号图并使用S-Edit软件设计出的数据选择器电路图如下所示图2-4数据选择器电路图导出的SPICE文件,如下图所示图2-5 spice文件加载包含文件,如下图所示图2-6 加载后的SPICE文件在其基础上进行仿真:下图从上到下依次为Y. S B A,结合逻辑表达式及真值表可知,电路为正确的图2-7 模拟波形仿真图三版图设计3.1总体版图设计及DRC验证3.1.1数据选择器版图设计步骤(1)新建文件夹:在电脑本地磁盘新建文件夹,文件夹名为shuju。
数据选择器(MUX)
输出表达式:
FA>B= AB FA<B= AB FA=B= A B
18
数值比较器原理
2位数值比较器
A1 B1
A1>B1 A1<B1 A1=B1 A1=B1 A1=B1
A0 B0
× × A0>B0 A0<B0 A0=B0
FA>B
1 0 1 0 0
FA<B
0 1 0 1 0
FA=B
0 0 0 0 1
数 D0 据 D1 输 入
DN-1
…
同相或
Y 反相输出
n位通道选择信号(N=2n)
数据选择器功能示意图
2
数据选择器原理
例: 一种4-1MUX的功能表
S1 S0 F
0 0 D0 0 1 D1 1 0 D2 1 1 D3
逻辑符号:
F
S1
4-1MUX
S0
D0 D1 D2 D3
输出表达式:
F = S1S 0 D0 + S1S 0 D1 + S1S 0 D2 + S1S 0 D3
A1
FA >B
A0
FA =B
B1
B0
FA< B
输出表达式为:FA>B = A1B1 + ( A1ΟB1)( A0 B0 ) FA<B = A1B1 + ( A1ΟB1)( A0 B0 ) FA=B = ( A1ΟB1)( A0ΟB0 )
多位二进制数进行比较时,如果高位已比较出“>”或“<”, 则 可直接给出比较结果, 否则应进一步比较低位数据。
13
例 2 电路
电路图如下所示:
L
A B C
八选一数据选择器逻辑表达式
八选一数据选择器逻辑表达式摘要:一、数据选择器的概念和作用二、八选一数据选择器的逻辑表达式三、逻辑表达式的推导与分析四、实际应用场景及意义正文:数据选择器是一种多路选择器,可以在多个输入信号中选择一个输出。
在数字电路中,数据选择器被广泛应用于从多个数据源中选择一个数据,以实现数据选择、信号切换等功能。
八选一数据选择器是一种具有8 个输入信号、1 个选择信号的数据选择器。
其逻辑表达式如下:Dout = A·S" + B·S" + C·S" + D·S" + E·S" + F·S" + G·S" + H·S"其中,Dout 表示输出信号,A、B、C、D、E、F、G、H 表示输入信号,S"表示选择信号。
当选择信号S"为0 时,Dout 输出A、B、C、D、E、F、G、H 中的任意一个信号;当选择信号S"为1 时,Dout 输出A、B、C、D、E、F、G、H 的按位异或结果。
逻辑表达式的推导与分析:1.当选择信号S"为0 时,Dout = A·S" + B·S" + C·S" + D·S" + E·S" + F·S" + G·S" + H·S" = A + B + C + D + E + F + G + H此时,Dout 输出的是A、B、C、D、E、F、G、H 中的最大值,实现了数据选择的功能。
2.当选择信号S"为1 时,Dout = A·S" + B·S" + C·S" + D·S" + E·S" + F·S" + G·S" + H·S" = A" + B" + C" + D" + E" + F" + G" + H"此时,Dout 输出的是A、B、C、D、E、F、G、H 的按位异或结果,实现了数据切换、异或等功能。
四选一数据选择器
四选一数据选择器
数据选择器(data selector) 根据给定的输入地址代码,从一组输入信号中选出指定的一个送至输出端的组合逻辑电路。
有时也把它叫做多路选择器或多路调制器。
在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器,也称多路选择器或多路开关
图所示的是四选一数据选择器的原理图。
图中的D0、D1、D2、D3是四个数据输入端,Y为输出端,A1、A0是地址输入端。
从表中可见,利用指定A1A0的代码,能够从D0、D1、D2、D3这四个输入数据中选出任何一个并送到输出端。
因此,用数据选择器可以实现数据的多路分时传送。
此外,数据选择器还广泛用于产生任意一种组合逻辑函数。
在图示电路中,若将Y看成是A0、A1及D0、D1、D2、D3的函数,则可写成
图1
如果把A1、A0视为两个输入逻辑变量,同时把D0、D1、D2和D3取为第三个输入逻辑变量A2的不同状态(即A2、/A2、1或0),便可产生所需要的任何一种三变量A2、A1、A0的组合逻辑函数。
可见,
利用具有n位地址输入的数据选择器可以产生任何一种输入变量数不大于n +1的组合逻辑函数。
其工作原理是你给A1A0一组信号比如1 0 那么就相当于给了他一个2进制数字2 也就相当于选通了D2这个输入端这个时候输出Y 输出的就是D2的信号
D2是什么Y就输出什么
输出表。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
接线图如图2-5所示
显然,采用具有n个地址端的数 据选择器实现n变量的逻辑函数 时,应将函数的输入变量加到 数据选择器的地址端(A),选 择器的数据输入端(D)按次序 以函数F输出值来赋值。
例2:用8选1数据选择器74LS151实现函数 (1)列出函数F的功能表如表2-3所示。 (2)将A、B加到地址端A1、A0,A2接地,由表2-3可见,将D1、 D2接“1”,D0、D3接地,其余数据输入端D4~D7都接地,则8选 1数据选择器的输出Q,便实现了函数 接线如图2-6所示。
如:A2A1A0=001,则选择D1数据到输出端, 即Q=D1,其余类推。
2、双四选一数据选择器74LS153
所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排 列如图2-3所示。
1S、2S为两个独立的使能端; A1、A0为公用的地址输入端; 1D0~1D3和2D0~2D3分别为两个4选1 数据选择器的数据输入端;1Q、2Q 为两个输出端。
3.3--8译码器
A0,A1,A2为地址输入端,Y0— Y7为译码输出端,STa.STb.STc
为使能端;
三、实验内容
1、测试数据选择器74LS151的逻辑功能。 按图2-4接线,地址端A2A1A0, 数据端D0~D7,使能端接逻辑开关,输出端 Y接逻辑电平显示器,按74LS151功能 表逐项进行测试,记录测试结果 2、测试74LS153的逻辑功能 3、测试74LS138的逻辑功能 4 、用8选1数据选择器74LS151设计三输入多数表决电路。 5.数据选择器和译码器的应用 用数据选择器和译码器组成一个信号传输电路D0.D1.D2---D7为信号输入端 Y0.Y1.Y2---Y7为信号输出端 要求;数据选择器和译码器同步工作,信号一一对应.
步骤: 1、作出函数F的功能表,如表2-2所示。 将函数F功能表与8选1数据选择器的功能表比 较,可知: 1)将输入变量C、B、A作为8选1数据选择器 的 地址码A2、A1、A0。 2)使8选1数据选择器的各数据输入D0~D7分别 与函数F的输出值一一对应。 即:A2A1A0=CBA D0=D7=0 D1=D2=D3=D4=D5=D6=1 则8选1数据选择器的输出Q便实现了函数
用8选1数据选择器74LS151设计三输入多数表决电路 (1)列出功能表如下: (2)由功能表作出接线图如2-7所示。
1、八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图2-2,功能如表2-1。
控制端
使能 端
1)使能端 均无输出( 2)使能端
时,不论A2~A0状态如何, ),多路开关被禁止。 时,多路开关正常工作,根
据地址码A2、A1、A0的状态选择D0~D7中某一 个通道的数据输送到输出端Q。 例如 如:A2A1A0=000,则选择D0数据到输出端, 即Q=握中规模集成数据选择器的逻辑功能及使用方法。 2、掌握译码器的逻辑功能及使用方法。 二、实验原理 数据选择器又称多路转换器或称多路开关,其功能是从多个输入数据中选择一 个送往唯一通道输出。数据选择器的功能类似一个多掷开关,如图2-1所示,图中 有四路数据D0、D1、D2、D3,通过选择控制信号A 1、A0(地址码)从四路数据中选
中某一路数据送至输出端Q。而译码器是一个多输入.多输出的组合逻辑器件.
根据 数据输入端的个数不同可分为16选1,8 选1,4选1等数据选择器。图2-1是4选1数据选 择器。当构成更多输入的数据选择器时,由于 数据源增多,所以需要更多的地址控制端。 数据选择器的电路一般由与或门阵列组成。 芯片的介绍 常用的数据选择器芯片有: 74LS151,74LS153.74LS138