BCD七段数码管显示译码器和真值表资料教学教材

合集下载

实验六 BCD对七段显示器译码器

实验六 BCD对七段显示器译码器

实验六BCD对七段显示器译码器一、目的:1.能了解BCD对七段显示器译码器电路的设计原理。

2.能利用AHDL语言设计一个BCD对七段显示器译码器电路。

3.能自行以CPLD数位发展实验系统验证所设计电路的正确性。

二、电路图:三、实验器配置图:四、实验步骤与画面:1.建立一个名为BCD_Dec7.v的新文件,并在quaruts Ⅱ文字编辑器中,以VerilogHDL语言来设计程式,图U6-1为算术逻辑运算单元的VerilogHDL程式。

其中in为输入端口,out为输出端口。

2.存储、检查及编译。

3.创建元件符号。

4.创建波形文件,设定合适的端口信号,仿真元件的波形。

观察波形图可以看出当s端口选择不同功能时,芯片会对A、B数据进行相应的操作。

5.请依照下表改变i[3..0]之值,观察并记录其输出的字型。

五、相关说明:1.BCD对七段显示器解码器的程式主要是以TABLE叙述来完成,我们只要将输出入关系以列表方式列出後,编译器就会自动将其编译化简成逻辑运算闸结构。

2.程式中用"%"符号字元括起来的为注解用文字。

编译器并不会处理。

3.对于一个微电脑记忆体位址分配解码控制以及周边之解码控制电路而言,若一一将每个输入变数所对应的解码输出列表出来的话。

简直是一件不可能的事,此时只有采用"X"don't care方式来表示,才能完成此不可能的任务,请参考图U7-3的程式范例。

上面的例子是表示:ROM的记忆体位址是在0000H—3FFFFH范围,而RAM的位址是在8000H—9FFFH范围。

4.对于一些没有列表出来的输入状态而言,其对应输出该如何解决呢?AHDL有个DEFAULTS叙述可以帮我们解决这个问题。

例如图U7-4的程式中,对于没有成立的输入状态,其对应输出的ascii_code 值都为“00111111”。

图U7-45.使用DEFAULTS…END DEFAULTS叙述时要注意下列几件事情:(1)Logic区段中只能有一个DEFAULTS叙述,而且其位置必须是在BE-GIN关键字后的第一个。

CD4511(BCD码七段码译码器)

CD4511(BCD码七段码译码器)

表 3-2 CD 4511 的真值表
8421 BCD 码对应的显示见下图

选用共阴极数码管,对于 CD4511 ,它与数码管的基本连接方式如下图 :
J=
=(C+B)D+BI
如不考虑消隐 BI 项,便得 J=(B+C)D 据上式,当输入 BCD 代码从 1010---1111 时,J 端都为“1”电平,从而使显示器中
的字形消隐。
输出 输入 LE BI LI D C B A a b c d e f g 显示 X X 0 XXXX1111111 8 X 0 1 X X XX 0 0 0 0 0 0 0 消隐 0 1 1 0 0 0 0 1111110 0 0 1 1 0 0 0 1 0110000 1 0 1 1 0 0 1 0 1101101 2 0 1 1 0 0 1 1 1111001 3 0 1 1 0 1 0 0 0110011 4 0 1 1 0 1 0 1 1011011 5 0 1 1 0 1 1 0 0011111 6 0 1 1 0 1 1 1 1110000 7 0 1 1 1 0 0 0 1111111 8 0 1 1 1 0 0 1 1110011 9 0 1 1 1 0 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 1 0 0 0 0 0 0 消隐 1 1 1 X X XX 锁 存 锁存
a、b、c、d、e、f、g:为译码输出端,输出为高电平 1 有效。 CD4511 的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可

BCD七段译码器驱动器

BCD七段译码器驱动器

tPHL 传输延迟时间 A tPLH 传输延迟时间 A tPHL 传输延迟时间 tPLH 传输延迟时间
CL=15pF RL=4KΩ CL=15pF RL=6KΩ
最小
参数值 典型
最大 100 100 100 100
单位
ns ns ns ns
天水天光半导体有限责任公司(八七一厂) 2005.1 版
件)。
4、当灭灯输入/动态灭灯输出(
)开路或保持在电平,而试灯输入( )为低
电平时,则所有各段的输出都为低电平。
*
是线与逻辑,用作灭灯输入( )或动态灭灯输出( )之用,或兼作
两者之用。
天水天光半导体有限责任公司(八七一厂) 2005.1 版
54LS248/74LS248
LSTTL 型 BCD—七段译码器/驱动器

HH H× H× H× H× H× H× H× H× H× H× H× H× H× H× H× ××
入 D C BA LLLL L L LH LLHL L LHH LHLL LHLH LHHL LHHH HLLL H L LH HLHL H L HH HHL L HHLH HHHL HHHH非门和一个驱动器成对连接,以产生可用的
BCD 数据及其补码至 7 个与或非译码门。剩下的与非门和 3 个输入缓冲器作为
试灯输入( )端、灭灯输入/动态灭灯输出(
)端及动态灭灯输入( )端。
该电路接受 4 位二进制编码—十进制数(BCD)输入,并根据辅助输入的状
态,将这些数据译成驱动其它元件的码。
Vcc=最大 (除
VI=2.7V )
20
IIL
输入低电平电流 Vcc=最大 VI=0.4V 其它输入
-1.2 -0.4

七段数码管显示实验报告

七段数码管显示实验报告

七段数码管显示实验报告实验目的:本实验的目的是通过控制7段数码管的亮灭状态来显示不同的数字和字母。

实验原理:7段数码管常用于显示数字和字母,每个数码管由7个LED灯组成,分别表示A、B、C、D、E、F、G等7个段。

通过控制这些LED灯的亮灭状态,就可以显示不同的数字和字母。

在实际应用中,通常需要使用一个译码器来根据输入的数字或字母输出相应的控制信号。

常用的译码器有7447、DM9368等。

这些译码器通常都是BCD码到7段数码管的译码器。

在本实验中,我们将使用7447译码器来控制7段数码管的亮灭状态。

7447译码器具有4个输入线和7个输出线,每个输入线上的BCD码可以转换成相应的控制信号,用于控制数码管的7个LED 灯。

实验材料:1.7段数码管2.7447译码器3.电路板4.电压源5.连接线实验步骤:1.将7447译码器插入电路板上相应的插槽中,并将数码管连接到电路板上。

2.将电压源连接到电路板上,并调节电压和电流值。

3.根据所需显示的数字或字母,设置相应的BCD码输入信号。

4.打开电源,观察数码管是否能够正确显示。

实验结果:通过本实验,我们可以成功控制7段数码管的亮灭状态,实现了数字和字母的显示。

同时,我们也了解了7447译码器的原理和使用方法。

实验小结:本实验是电子技术的基础实验之一,通过实验我们深入了解了7段数码管和7447译码器的原理和应用,同时也锻炼了我们的动手能力和实验技能。

在实际应用中,7段数码管和译码器常常被用于数字显示、计数器、时钟、温度计等电子设备中,具有广泛的应用前景。

已知8421bcd可用7段译码器,驱动日字led管,显示出十进制数字。指出下列变换真值表

已知8421bcd可用7段译码器,驱动日字led管,显示出十进制数字。指出下列变换真值表

已知8421bcd可用7段译码器,驱动日字led管,显示出十进制数字。

指出下列变换真值表为了解决这个问题,我们首先需要了解7段译码器是如何工作的。

7段译码器是一种集成电路,它可以将一个4位二进制数解码为7个输出信号,这些信号可以驱动7段LED显示器以显示数字。

通常,对于一个4位二进制数,其范围是0000到1001,对应于十进制中的0到9。

对于每一个十进制数字,7段译码器都会产生一个特定的输出组合来驱动LED的各个段,从而显示该数字。

现在,假设我们有一个8421 BCD(二进制编码十进制)到7段译码器的转换器,其功能是将8421 BCD码转换为7段显示码。

根据8421 BCD编码的定义,它使用4位二进制代码来表示十进制数字。

其中:第1位表示千位(8)第2位表示百位(4)第3位表示十位(2)第4位表示个位(1)例如,数字5的8421 BCD编码是0101。

基于这个编码规则,我们可以得出以下变换真值表:输入(8421 BCD)输出(7段显示码)显示数字0000 0x3F (点亮第1-7段) 00001 0x06 (点亮第2-4-6段) 10010 0x5B (点亮第段) 20011 0x4F (点亮第段) 30100 0x66 (点亮第段) 40101 0x6D (点亮第段) 50110 0x7D (点亮第段) 60111 0x07 (点亮第段) 71000 0x7F (点亮第段) 81001 0x6F (点亮第段) 9注意:这里的“点亮”是指对应的LED段应当亮起。

例如,数字3的7段显示码是0x4F,意味着第1、3、6、7段应该亮起。

七段数码管显示译码器

七段数码管显示译码器

七段数码管显示译码器本页仅作为文档页封面,使用时可以删除This document is for reference only-rar21year.March可编程逻辑器件技术项目设计报告课题名称:七段数码管显示译码器班级:13电子(2)班姓名:许宋如指导老师:龚兰芳一、设计要求1)用VHDL语言设计一个可以实现以下功能的程序:输入时思位二进制数,输出时对应的十进制。

2)用四个开关代表四位二进制数,单个数码管显示对应的十进制数。

3)编完程序之后并在开发系统上进行硬件测试。

二、任务分析用来驱动各种显示器件,从而将用二进制代码表示的数字,文字,符号翻译成人们习惯的形式直观的显示出来的电路,称为显示译码器。

这种显示译码器用多种发光器件构成。

例如半导体发光二极管,液晶灯。

以发光二极管的七段数码管显示译码器为例进行说明。

七段字形译码器的真值表:设计原理图:四、硬件要求主芯片EPM240T100C5,4个开关,一个共阴七段数码管。

五、源程序library ieee;use ieee.std_logic_1164.all;entity yima isport(l:out std_logic_vector(5 downto 0);d0,d1,d2,d3:in std_logic;a,b,c,d,e,f,g:out std_logic);end yima;architecture behav of yima issignal m:std_logic_vector(3 downto 0); signal seg7:std_logic_vector(6 downto 0); beginl<=”011111”;m<=d3&d2&d1&d0;with m selectseg <=”011111”when ”0000”,“0000110”when “0001”,“1011011”when “0010”,“1001111”when “0011”,“1100110”when “0100”,“1101101”when “0101”,“1111101”when “0110”,“0000111”when “0111”,“1111111”when “1000”,“1100111”when “1001”,“1110111”when “1010”,“0111001”when “1011”,“0111001”when “1100”,“1011110”when “1101”,“1111001”when “1110”,“1110001”when “1111”,“0000000”when others;g<=seg7(6);f<=seg7(5);e<=seg7(4);d<=seg7(3);c<=seg7(2);b<=seg7(1);a<=seg7(0);end behav;六、接线图七、现象描述在硬件上测试,现象结果是,当被分配的引脚的四个拨码开关打到相应位置的二进制对应的七段显示器数码管上显示出相应的字形,例如:当拨码开关对应的二进制为1000的是字形8.八、总结通过这次课题实验,熟悉了各程序模块的编写特点,主要是进程语句模块,和结构体以及实体模块的程序编写。

BCD7段译码器

BCD7段译码器
关键词:LED数码管,BCD码,EDA技术
1 引 言
20世纪末,数字电子技术得到了飞速发展,有力地推动和促进了社会生产力的发展和社会信息化的提高,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用了数字电子技术。
原理图描述的7段显示译码器的工作波形七段显示译码器的原理图描述显示的字形沈阳工程学院课程设计通过对bcd码显示译码器的设计我进一步了解到eda工具在我们现实生活中的作用是不可能被替代的而且在数字逻辑系统中74系列或4000系列芯片构成电路只适合简单的应用它们已不能满足人们对高科技技术的要求因此我们要更好的学习eda工具以便提高自身的实践能力与专业知识的应用能力争取更快的与社会实际和社会需要接轨
备注
1
12.30-12.31
选题,搜集资料,熟悉设计环境
2
01.02-01.06
调试程序
3
01.07-01.08
仿真程序
4
01.09-01.10
完成课程设计说明书(论文)、进行答辩
沈 阳 工 程 学 院
EDA课程设计成绩评定表
系(部):自动化学院班级:学生姓名:
指 导 教 师 评 审 意 见
评价
内容
MAX+PLUSII 具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。 MAX+PLUS II 开发系统众多突出的特点,使它深受广大用户的青睐。
半导体数码管(或称LED数码管)是常用的显示器件,其基本单元是发光PN结,目前较多采用磷砷化镓做成的PN结,封装成为发光二极管,如图1(a)所示。当外加正向电压时,就能发出清晰的光线。发光二极管的工作电压为1.5~3V,由于工作电流为几毫安到十几毫安比较小,故实际电路应串接适当的限流电阻。

七段显示译码器电路设计

七段显示译码器电路设计

题目:七段显示译码器电路设计专业:电气自动化专业班级:姓名:学号:目录第一节绪论……………………………………………………………………………..1.1本设计的任务和主要内容………………………………………………………………..1.2基本工作原理及原理框图………………………………………………………………...第二节硬件电路的设计…………………………………………………………………2.1BCD译码器选择与设计…………………………………………………………………….2.2LED显示器的设计……………………………………………………………………………2.3总的设计……………………………………………………………………………………第四节设计总结…………………………………………………………………………第一节绪论本课程设计的七段译码器主要以BCD译码器或LED显示器为主要部件,应用集成门电路组成的一个具有译码和显示的装置。

其中BCD 译码器采用8421BCD译码器,即----七段显示译码器(74LS48)型。

LED显示器是由发光二极管组成的,LED显示器分共阴极和共阳极两种型号,共阴极LED显示器的发光二级管阴极接地,共阳极LED显示器的发光二极管阳极并联。

最后把BCD译码器或LED显示器组成了的装置就具有了显示和译码的功能。

此七段译码器也就成功了。

1.1设计的任务和本主要内容1)运用LED显示器或BCD译码器实现一定的功能2)写出详细的实验报告1.2基本工作原理及原理框图基本工作原理及原理框图如下:第二节硬件的设计BCD译码器选择与设计发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。

BCD7段数码管显示译码器电路设计

BCD7段数码管显示译码器电路设计

以上语句等效为: process(indicator, sig)
variable temp : std_logic ; begin
temp := ‘0’ ; temp :=temp xor (sig(0) and indicator(0)); temp :=temp xor (sig(1) and indicator(1)); temp :=temp xor (sig(2) and indicator(2)); temp :=temp xor (sig(3) and indicator(3)); output <= temp ; end process ;Biblioteka 例:变量赋值实现循环语句功能
process(indicator, sig) variable temp : std_logic;
begin temp := ‘0’ ; for i in 0 to 3 loop
temp:=temp xor (sig(i) and indicator(i));
end loop ; output <= temp; end process;
PROCESS (a,b) BEGIN
--sequential statements END PROCESS;
PROCESS BEGIN
-- sequential statements WAIT ON (a,b) ; END PROCESS;
BCD-7段显示译码器工作原理
• BCD-7段译码器是由7个发光二极(LED) 管构成,LED由特殊的半导体材料砷化镓、 磷砷化镓等制成,组装成分段式或点阵式 LED显示器件(半导体显示器)。分段式显示 器(LED数码管)由7条线段围成8型,每一段 包含一个发光二极管。外加正向电压时二 极管导通,发出清晰的光,有红、黄、绿 等色。只要按规律控制各发光段的亮、灭, 就可以显示各种字形或符号。

实验二 7 段数码管静态显示译码器

实验二 7 段数码管静态显示译码器

实验二7 段数码管静态显示译码器1.实验目的学习quartusii 和modelsim的使用方法;学习原理图和veriloghdl混合输入设计方法;掌握7 段数码管静态显示译码器的设计及仿真方法。

2.实验原理根据下面电路图,设计7 段数码管静态显示译码器电路,在kx3c10F+开发板上实现该电路,并作仿真。

3.实验设备kx3c10F+开发板,电脑。

4.实验步骤4.1编译4.1.1七段数码管代码module segled(out1,a); //定义模块名和输入输出端口input [3:0]a; //输入一个3位矢量output [6:0]out1; //输出一个6位矢量reg [6:0]out1; //reg型变量用于always语句always@(a) //敏感信号啊begincase(a) //case语句用于选择输出4'b0000:out1<=7'b1000000;4'b0001:out1<=7'b1001111;4'b0010:out1<=7'b0100100;4'b0011:out1<=7'b0110000;4'b0100:out1<=7'b0011001;4'b0101:out1<=7'b0010010;4'b0110:out1<=7'b0000011;4'b0111:out1<=7'b1111000;4'b1000:out1<=7'b0000000;4'b1001:out1<=7'b0011000;4'b1010:out1<=7'b0001000;4'b1011:out1<=7'b0011100;4'b1100:out1<=7'b1000111;4'b1101:out1<=7'b0100011;4'b1110:out1<=7'b0000110;4'b1111:out1<=7'b0001110;endcaseendendmodule //模块结束效果图:4.1.2综合模块代码// Copyright (C) 1991-2013 Altera Corporation// Your use of Altera Corporation's design tools, logic functions // and other software and tools, and its AMPP partner logic// functions, and any output files from any of the foregoing// (including device programming or simulation files), and any// associated documentation or information are expressly subject // to the terms and conditions of the Altera Program License// Subscription Agreement, Altera MegaCore Function License// Agreement, or other applicable license agreement, including,// without limitation, that your use is for the sole purpose of// programming logic devices manufactured by Altera and sold by// Altera or its authorized distributors. Please refer to the// applicable agreement for further details.// PROGRAM "Quartus II 64-Bit"// VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition" // CREATED "Mon Mar 27 15:23:18 2017"module Blok(a,out1);input wire [3:0] a;output wire [6:0] out1;segled b2v_inst(.a(a),.out1(out1));endmodule效果图:4.1.3编辑结果截图编译解释:在这个报告中,我们可以看到如下信息:Total logic elements 7/5136(<1%): 该芯片中共有5136个LE资源,其中的7个在这个工程的这次编译中得到了使用。

实验报告模板:实验二7段数码显示译码器

实验报告模板:实验二7段数码显示译码器

实验二7段数码显示译码器【实验目的】1.设计七段显示译码器,并在实验板上验证2.学习V erilog HDL文本文件进行逻辑设计输入;3.学习设计仿真工具的使用方法;【实验内容】1.实现BCD/七段显示译码器的“Verilog ”语言设计。

说明:7段显示译码器的输入为:IN0…IN3共5根,7段译码器的逻辑表同学自行设计,要求实现功能为:输入“0…15 ”(二进制),输出“0…9…F ”(显示数码),输出结果应在数码管(共阴)上显示出来。

2.使用工具为译码器建立一个元件符号3.设计仿真文件,进行验证。

4.编程下载并在实验箱上进行验证。

【实验原理】7段数码是纯组合电路。

通常的小规模专用IC,如74或4000系列的器件只能作十进制的BCD码译码,然而数字系统的数据处理和运算都是二进制的,所以输出表达式都是十六进制的。

为了满足十六进制数的译码显示,最方便的方法就是利用Verilog译码程序在FPGA/CPLD中实现。

首先要设计一段程序。

该程序可按照例3-2的case语句表述方法,再根据表4-2的真值表写出程序。

者输入的4位码为A【3:0】,输出控制7段共阴数码管的7位数据位LED7S【6:0】。

输出信号LED7S的7位分别接图4-74的工银数码管的7个段,高位在左,低位在右。

【程序源代码】(加注释)module LED (IN,led7);input[3:0] IN;output[6:0] led7; //定义输出信号reg[6:0] led7; //定规输出信号位7位的寄存器变量always@(IN) //IN为敏感性信号begin //主块开始case(IN) //使用了case语句4'b0000: led7<=7'b0111111;4'b0001: led7<=7'b0000110;4'b0010: led7<=7'b1011011;4'b0011: led7<=7'b1001111;4'b0100: led7<=7'b1100110;4'b0101: led7<=7'b1101101;4'b0110: led7<=7'b1111101;4'b0111: led7<=7'b0000111;4'b1000: led7<=7'b1111111;4'b1001: led7<=7'b1101111;default: led7<=7'b0111111;endcaseend //主块结束endmodule【仿真和测试结果】【硬件仿真结果:】【实验心得和体会】通过这次的7段数码显示译码器实验,我对EDA有了进一步的了解,对QuartusII有了了解以及在QuartusII的使用上有了一些经验。

七段数码显示器

七段数码显示器

CD4511是BCD锁存/7段译码器/驱动器,常用的显示译码器 件,MAX7219和他功能差不多。 • CD4511引脚功能: BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状 态是怎么样的,七段数码管都会处于消隐也就是不显示的状态。 LE:5脚是锁定控制端,当LE=0时,允许译码输出。 LE=1 时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。 LT:3脚是测试信号的输入端,当BI=1,LT=0 时,译码输 出全为1,不管输入 DCBA 状态如何,七段均发亮全部显示。它 主要用来检测数7段码管是否有物理损坏。 A1、A2、A3、A4、为8421BCD码输入端。 a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。 • CD4511的里面有上拉电阻,可直接或者接一个电阻与七段 数码管接口。 • 数字电路CD4511的原理(引脚及功能)
LE:5脚是锁存控制端,当LE=0时,即低电平时传输 数据,允许译码输出。 LE=1,即高电平时译码器是锁 定保持状态,译码器的输出状态被保持。 • a~g是 7 段输出,可驱动共阴LED数码管。另外, CD4511显示数“6”时,a段消隐;显示数“9”时,d段 消隐,所以显示6、9这两个数时,字形不太美观, CD4513就不存在这种情况。 • 下 图是 CD4511和CD4518配合而成一位计数显示 电路,若要多位计数,只需将计数器级联,每级输出接 一只 CD4511 和 LED 数码管即可。所谓共阴 LED 数码 管是指 7 段 LED 的阴极是连在一起的,在应用中应接 地。限流电阻要根据电源电压来选取,电源电压12V时 可使用300Ω-1KΩ的限流电阻。用CD4511实现LED与 加、减计数器CD40192的接线方法如下图:CD4511 引 脚 图其功能介绍如下:

BCD七段数码管显示译码器和真值表资料教学教材

BCD七段数码管显示译码器和真值表资料教学教材

BCD七段数码管显示译码器和真值表资料BCD七段数码管显示译码器和真值表类别:网文精粹阅读:1865发光二极管(LED)由特殊的半导体材料砷化傢、磷砷化傢等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。

图4 - 17(a是共阴式LED数码管的原理图,图4-17(b)是其表示符号。

使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4—7译码器。

若用它驱动共阴LED 数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。

例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a d、e段,故译码器的输出应为Fa~Fg=0110011,这也是一组代码,常称为段码。

同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)表4-12 BCD -段译码器真直表D 输C入F M出字晤A尺Fj00001111110一n 00Q101100a a1001a]10i I a* 匚001i111i001二010a c110011LJ010i1Q11011Ei011010i1111r Li0111111100Q01000]'1i I111100i11110i i匚MSI BCD七段译码器就是根据上述原理组成的,只是为了使用方便,增加了一些辅助控制电路。

这类集成译码器产品很多,类型各异,它们的输出结构也各不相同,因而使用时要予以注意。

图4-17(c)是BCD七段译码器驱动LED 数码管(共阴)的接法。

数字电子课程设计-七段LED显示译码器

数字电子课程设计-七段LED显示译码器

数字电路设计论文七段LED显示译码器目录1.分段式2.BCD-七段显示译码器3.七段显示译码器4.动态灭零输入RBI5.动态灭零输出RBO分段式数码由分布在同一平面上若干段发光的笔画组成,如半导体显示器。

半导体数码管——BS201A半导体数码管是分段式半导体显示器件,其基本结构是PN结,即用发光二极管(LED)组成字型来来显示数字。

这种数码管的每个线段都是一个发光二极管,因此也称LED数码管或LED七段显示器。

七段显示器由发光二极管(light emitting diode ; LED)组合而成,分为共阴及共阳两型,将内部所有LED的阴极接在一起的称为共阴型,内部所有LED的阳极接在一起的称为共阳型,见下图:因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。

我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。

1)输入:8421BCD码,用A3 A2 A1 A0表示(4位)。

2)输出:七段显示,用Ya ~ Yg 表示(7位)3)逻辑符号:七段显示译码器在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方面用于监视数字系统的工作情况。

因此,数字显示电路是许多数字设备不可缺少的部分。

数字显示电路通常由译码器、驱动器和显示器等部分组成,如图5.3.5所示。

下面对显示器和译码驱动器分别进行介绍。

数码显示器是用来显示数字、文字或符号的器件,现在已有多种不同类型的产品,广泛应用于各种数字设备中,目前数码显示器件正朝着小型、低功耗、平面化方向发展。

数码的显示方式一般有三种:第一种是字形重叠式,它是将不同字符的电极重叠起来,要显示某字符,只须使相应的电极发亮即可,如辉光放电管、边光显示管等。

第二种是分段式,数码是由分布在同一平面上若干段发光的笔划组成,如荧光数码管等。

7段显示译码器设计实验报告

7段显示译码器设计实验报告

数字钟实验报告学生专业:电子信息工程学生班级:151143C学生学号:*********学生姓名:***7段显示译码器设计151143324 ***一、实验目的:学习七段数码显示译码器设计,多层次设计方法和总线数据输入方式的仿真。

二、实验原理:7段BCD码译码器的设计,输出信号Segmentout的7位分别接数码管的7个段,高位在左,低位在右。

例如当Segmentout输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平的段发亮,于是数码管显示数字“5”。

如果是共阳极的数码显示器,则8段输出应取反,段显码为“10010010”,使用时要注意数码管的接法。

实际产品设计中,一般会用到多个数码管,显示几位数字。

4位数的7段数码管,4位数字共用同样的段输出。

若只想让第一个位显示,其他的位不显示,那么可以只给第一位数字供电,其他的断电,用4LED来控制的,4LED输出0001即可,若只让第二位显示则4LED输出0010即可。

这里的4LED选择控制要显示的位,称为位选。

三、实验内容:module BCD_Segment7(BCDin,Segmentout,Select);input[3:0]BCDin;output Select;output [6:0]Segmentout;reg[6:0]Segmentout;always@(BCDin)begincase(BCDin)4'h0:Segmentout=7'b1000000;4'h1:Segmentout=7'b1111001;4'h2:Segmentout=7'b0100100;4'h3:Segmentout=7'b0110000;4'h4:Segmentout=7'b0011001;4'h5:Segmentout=7'b0010010;4'h6:Segmentout=7'b0000010;4'h7:Segmentout=7'b1111000;4'h8:Segmentout=7'b0000000;4'h9:Segmentout=7'b0010000;4'hA:Segmentout=7'b0001000;4'hB:Segmentout=7'b0000011;4'hC:Segmentout=7'b1000110;4'hD:Segmentout=7'b0100001;4'hE:Segmentout=7'b0000110;4'hF:Segmentout=7'b0001110;default:Segmentout=7'bxxxxxxx;endcaseendassign Select=1'b0;endmodule四、时序仿真波形:引脚设定时序仿真波形参考设置:1、设置仿真时间。

7段数码管

7段数码管

可编程逻辑器件技术项目设计报告课题名称:七段数码管显示译码器班级:13电子(2)班姓名:陈秋娥指导教师:龚兰芳一、设计要求1)用VHDL语言设计一个可以实现以下功能的程序:输入是四位二进制数,输出是对应的十进制。

2)用四个开关代表四位二进制数,单个数码管显示对应的十进制数。

3)编写完程序之后并在开发系统上进行硬件测试。

二、任务分析用来驱动各种显示器件,从而将用二进制代码表示数字,文字,符号翻译成人们习惯的形式直观地显示出来的电路,称为显示译码器。

这种显示器可用多钟发光器件构成。

例如:半导体发光二极管,液晶等。

以发光二极管的七段数码管显示译码器为例进行说明。

序号D3D2D1D0a b c d e f g字形0 0 0 0 0 1 1 1 1 1 1 0 01 0 0 0 1 0 1 1 0 0 0 0 12 0 0 1 0 1 1 0 1 1 0 1 23 0 0 1 1 1 1 1 1 0 0 1 34 0 1 0 0 0 1 1 0 0 1 1 45 0 1 0 1 1 0 1 1 0 1 1 56 0 1 1 0 0 0 1 1 1 1 1 67 0 1 1 1 1 1 1 0 0 0 0 78 1 0 0 0 1 1 1 1 1 1 1 89 1 0 0 1 1 1 1 0 0 1 1 9七段数码管显示译码器真值表三、硬件要求主芯片EPM240T100C5,4个开关,一个共阴七段数码管。

四、源程序(*.vhd)library ieee;use ieee.std_logic_1164.all;entity yima isport( l:out std_logic_vector(5 downto 0);d0,d1,d2,d3:in std_logic;r:out std_logic_vector(5 downto 0);a,b,c,d,e,f,g:out std_logic);end yima;architecture behav of yima issignal m:std_logic_vector(3 downto 0); signal seg7:std_logic_vector(6 downto 0); beginr<="011111";l<="011111";m<=d3&d2&d1&d0;with m selectseg7<="0111111" when "0000","0000110" when "0001","1011011" when "0010","1001111" when "0011","1100110" when "0100","1101101" when "0101","1111101" when "0110","0000111" when "0111","1111111" when "1000","1100111" when "1001","1110111" when "1010","0111001" when "1011","0111001" when "1100","1011110" when "1101","1111001" when "1110","1110001" when "1111","0000000" when others;g<=seg7(6);f<=seg7(5);e<=seg7(4);d<=seg7(3);c<=seg7(2);b<=seg7(1);a<=seg7(0);end behav;五、接线图六、总结根据设计要求,在原有的程序上加入r:out std_logic_vector(5 downto 0)和r<="011111"这两句话就可以把串在一起的其他5个数码管屏蔽掉,用一个数码管来显示数字。

七段数字显示器及显示译码器

七段数字显示器及显示译码器

七段数字显示器及显示译码器一、七段数字显示器七段数字显示器就是将7个发光二极管(加小数点为8个)按一定的方式排列起来,a 、b 、c 、d 、e 、f 、g (小数点DP)各对应一个发光二极管,利用不同发光段的组合,显示不同的阿拉伯数字,如图7.15所示。

ab c d ef g DPe d COM c DPg f COM a b(a) 数码管外形 (b) 段组合图图7.15 七段数字显示器及发光段组合图 按内部连接方式不同,七段数字显示器分为共阴极和共阳极两种,如图7.16所示。

COM COM a b c d e f g DPa b c d e f g DP(a) 共阳极接法 (b) 共阴极接法图7.16半导体数字显示器的内部接法半导体显示器的优点是工作电压较低(1.5~3V)、体积小、寿命长、亮度高、响应速度快、工作可靠性高,缺点是工作电流大,每个字段的工作电流为10mA 左右。

二、集成七段显示译码器CD4511集成七段显示译码器CD4511为双列直插16脚封装,它将 BCD 标准代码变换成驱动七段数码管所需的信号。

CD4511的外形如图7.17所示。

B C LT BI LE D A U SSU DD Y f Y g Y a Y b Y c Y d Y e CD4511图7.17 集成七段显示译码器CD4511其中四线A~D为BCD码输入端,高电平有效。

A为低位输入端,D为高位端。

七段Y a~Y g输出高电平以驱动共阴极数码管发光并显示特定的符号,如阿拉伯数字0~9,集成七段显示译码器的输出,由表7.6可知,也是一种多位二进制代码,但该种代码除了用于显示之外,与显示字符的数值大小、特性等无任何关联,也称之为字段码。

LE为锁存控制端,高电平时能够锁存输入的BCD码。

LT为灯测试反相控制端,BI为消隐反相控制端。

其逻辑功能见表7.6。

表7.6 七段显示译码器CD4511功能表三、数码管显示电路数显电容计显示部分的显示器件采用了3位共阴极数码管,可以显示从000~999的数值。

数电实验-实验七

数电实验-实验七

中山大学数字电路与逻辑设计实验报告SUN YAT-SEN UNIVERSITY院(系)学号17339072专业实验人欧雪莹实验题目:实验七译码显示电路一.实验目的(1)掌握中规模集成译码器的逻辑功能和抵用方法(2)熟悉数码管的使用二.实验器件(1)数字电路实验箱、数字万用表、示波器。

(2)器件:74LS48,74LS194,74LS73,74LS00三.实验内容(1)使用显示内容决定显示位置的方法显示学号(2)使用显示位置决定显示内容的方法显示学号四.实验原理1.数码显示译码器BCD码七段译码驱动器-----74LS48,用来驱动共阴极。

在实验箱上使用了两个四位数码管,对应已经连接好74LS48,实验时无需再练线,74LS48只保留引出了A0、A1、A2、A3四个引脚。

2.四节拍发生器在第一个脉冲的上升沿到达后,置入0111,在CP作用下依次为1011,1101,1110,在第四个CP下降沿到达后又使Q=1,实现第二个循环。

2.扫描式显示利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。

由选通信号控制多路开关,先后送出由高位到低位(或由低位到高位)一位十进制的BCD码。

3.具体实验方法有两种,一是内容决定显示位置。

二是位置决定显示内容。

下面是具体的设计过程:(1)显示内容决定显示位置将脉冲信号输入74LS197作为十六进制计数器,输出分别连入两块4位数码管的位选端,做到控制数码管从第1位到第4位扫描的同时在第5位到第8位扫描。

并将74LS197的低三位输出作为译码器74LS138的输入,译码器输出分别接到74LS48对应输入端即可实现0~7的译码。

通过对74LS197的输出做逻辑运算在(当输出位1001时,将其运算为0,输入到74LS48对应端口)实现9的译码。

(2)显示位置决定显示内容通过74LS194作为四节拍顺序脉冲发生器,输出分别连入两块4位数码管的位选端,做到控制数码管从第1位到第4位扫描的同时在第5位到第8位扫描。

cd4511引脚图管脚图及功能真值表

cd4511引脚图管脚图及功能真值表

cd4511引脚图管脚图及功能真值表显⽰译码器CD4511CD4511是⼀个⽤于驱动共阴极 LED (数码管)显⽰器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较⼤的拉电流。

可直接驱动LED显⽰器。

⽤CD4511实现LED与单⽚机的并⾏接⼝⽅法如下图:(略)CD4511 引脚图其功能介绍如下:BI:4脚是消隐输⼊控制端,当BI=0 时,不管其它输⼊端状态如何,七段数码管均处于熄灭(消隐)状态,不显⽰数字。

LT:3脚是测试输⼊端,当BI=1,LT=0 时,译码输出全为1,不管输⼊DCBA 状态如何,七段均发亮,显⽰“8”。

它主要⽤来检测数码管是否损坏。

LE:锁定控制端,当LE=0时,允许译码输出。

LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4、为8421BCD码输⼊端。

a、b、c、d、e、f、g:为译码输出端,输出为⾼电平1有效。

CD4511的内部有上拉电阻,在输⼊端与数码管笔段端接上限流电阻就可⼯作。

1. CD4511的引脚CD4511具有锁存、译码、消隐功能,通常以反相器作输出级,通常⽤以驱动LED。

其引脚图如3-2所⽰。

各引脚的名称:其中7、1、2、6分别表⽰A、B、C、D;5、4、3分别表⽰LE、BI、LT;13、12、11、10、9、15、14分别表⽰ a、b、c、d、e、f、g。

左边的引脚表⽰输⼊,右边表⽰输出,还有两个引脚8、16分别表⽰的是VDD、VSS。

2. CD4511的⼯作原理1. CD4511的⼯作真值表如表3-22. 锁存功能译码器的锁存电路由传输门和反相器组成,传输门的导通或截⽌由控制端LE的电平状态。

当LE为“0”电平导通,TG2截⽌;当LE为“1”电平时,TG1截⽌,TG2导通,此时有锁存作⽤。

如图3-3(3)译码CD4511译码⽤两级或⾮门担任,为了简化线路,先⽤⼆输⼊端与⾮门对输⼊数据B、C进⾏组合,得出、、、四项,然后将输⼊的数据A、D⼀起⽤或⾮门译码。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

B C D七段数码管显示译码器和真值表资料
BCD七段数码管显示译码器和真值表
类别:网文精粹阅读:1865
发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。

分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。

外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。

只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。

图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。

使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。

BCD七段译码器的输入是一位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4—7译码器。

若用它驱动共阴LED 数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。

例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为Fa~Fg=0110011,这也是一组代码,常称为段码。

同理,根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表,见表4 - 12(未用码组省略)。

MSI BCD七段译码器就是根据上述原理组成的,只是为了使用方便,增加了一些辅助控制电路。

这类集成译码器产品很多,类型各异,它们的输出结构也各不相同,因而使用时要予以注意。

图4-17(c)是BCD七段译码器驱动LED 数码管(共阴)的接法。

图中,电阻是上拉电阻,也称限流电阻,当译码器内部带有上拉电阻时,则可省去。

数字显示译码器的种类很多,现已有将计数器、锁存器、译码驱动电路集于一体的集成器件,还有连同数码显示器也集成在一起的电路可供选用。

相关文档
最新文档