倒计时数字电路

合集下载

数字电路课程设计_24秒倒计时

数字电路课程设计_24秒倒计时

赣南师院物理与电子信息学院数字电路课程设计报告书姓名:班级:学号:时间:图1 总原理框图1.1 555定时器555定时器是一种多用途的数字-模拟混合集成电路,用它可以构成施密特触发器、单稳态触发器和多谐振荡器。

本设计用LM555CM定时器设计一个多谐振荡器给电路提供脉冲信号,产生的脉冲信号用由74LS192进行计数,且设置周期为1秒。

1.1.1555定时器管脚名称和功能图2 555的管脚名称1脚为接地端,也是芯片的公共端。

2脚为C2比较器的信号输入端V2l又称为触发端。

它们输入的信号可以图3 555定时器的内部结构1.1.3 555定时器的功能表输入各级输出T 1状态D RV 1l V 2L V 1C V 2C触发器输出Q 1+n输出V OT 1状态0 ⨯ ⨯ ⨯ ⨯0 低电平 导通 1 (32)V CC (31V CC ) 0 1 0 低电平 导通 1 (32)V CC (31V CC ) 0 0 1 高电平 截止 1 (32)V CC (31V CC ) 1 0 0 高电平 截止 1(32)V CC (31V CC ) 11Q不变不变表1 555定时器的功能表1.274LS192十进制同步加减计数器图5 74LS192的内部结构图1.2.374LS192的功能表表2 74LS192的功能表1.374LS48七段译码器本设计中用共阴极七段显示数码管,为使七段显示数码管能正常工作,将74LS190连接到74LS48,74LS48将高低电平信号译成数码管可读信号,从而实现数字的显示。

1.3.174LS48的引脚排列图6 74LS48的引脚排列1.3.274LS48的真值表表3 74LS48的逻辑功能真值表1.4共阴极数码管数码管有共阴和共阳之分,本设计使用共阴数码管,因为译码器使用为74LS48,相应的数码管要用相应的译码器才能实现译码和显示数字。

1.4.1共阴数码管的引脚排列和简易符号图7 八段共阴数码管图8 数码管简易图1.4.2 共阴数码管的内部结构图9 共阴数码管内部结构2电路分析和仿真结果由上对各个元件进行的简介以及相关的原理图,下面对电路进行分部分析。

数电课程设计十秒倒计时定时器

数电课程设计十秒倒计时定时器

辽宁师范大学《数字电路》课程设计(09级本科)题目: 定时器1学院:物理与电子技术学院专业:电子信息工程班级:09.3班学号:14级!姓名: 张宁指导教师:赵静邱红张卓2完成日期:2011年10 月27 日一•设计内容及要求10 秒的倒计时定时器,倒计时要求用数码显示,当定时到1秒时,有声音提示,提示声音为0.5秒,当倒计时到0时停止计数二.总体方案设计由设计内容及要求,我设计了一个以NE555构成的多谐振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒时响。

三.单元模块设计.1.以NE555构成的多谐振荡器NE555的震荡器在本电路中的周期T=C(R1+R2)=1S 图二冲图三为多谐振荡电路 R1和R2, C 的值确保震荡周期为1 秒,图三的右下角为复位电路,与下一部分一同介绍。

2.倒计时电路图5-1 7 11.SI92的引和扌*列及逻辑符巧<H )引脚扌非列I%1HI l_d I HL L TUT ?1 Qi 口。

CP (> OPuPOOJQO Qijr1一匕 f3 2 P2 P36Q2 7Q3CPu CPnMRTCu TC D1213L_r —Po “就Fil rial pin1011 —14-图四由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR PL 非,CPu的值必须分别为0,1,1。

由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。

Q0, Q1,Q2,Q3为计数器输出端接到74LS47上。

NE555的3号管脚与74LS192的4浩管脚相连。

一秒发出一个脉冲,74LS192开始倒计时。

4.逻辑电路逻辑电路的作用在于将74LS192输出为一,即 Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。

倒计时电路的原理

倒计时电路的原理

倒计时电路的原理
倒计时电路的基本原理是利用时序控制信号来控制计数器的工作,实现倒计时功能。

该电路主要由计数器、时钟信号源、时序控制逻辑电路和显示电路组成。

首先,时钟信号源提供稳定的时钟信号作为计数器的驱动。

计数器是一个数字电路,能够按照时钟信号的脉冲进行计数。

当计数器的计数值达到预设的初始值时,会触发一个时序控制信号。

时序控制逻辑电路根据时序控制信号的触发条件,生成控制信号来控制计数器的状态转换。

在倒计时电路中,当时序控制信号触发时,控制信号会使计数器的计数值减1,实现倒计时的效果。

显示电路用来将计数器的输出结果转换为可视化的形式,例如数字显示器或LED灯等。

在倒计时电路中,显示电路会实时显示计数器的当前计数值,从而实现倒计时的可视化效果。

综上所述,倒计时电路通过计数器、时钟信号源、时序控制逻辑电路和显示电路的协同工作,实现了倒计时的功能。

通过调整初始值和控制信号的触发条件,可以实现不同的倒计时时间设置。

倒计时数字电子电路

倒计时数字电子电路

数字电子技术实训论文题目:0~99s倒计时数字电子电路系别:机电工程系专业:机电一体化学生姓名:题目类型:理论研究实验研究工程设计工程技术研究软件开发2010年7月10号摘要当今随着计算机在社会领域的渗透,单片机的应用正在不断地深入,同时带动传统控制检测日新月益更新。

我们这次做的是倒计时的实训,在设计过程中要用到74LS192计数器来实现倒计时功能,利用74LS48译码器来对数码管进行驱动。

主要实现的功能有可以定时倒计时,倒计时复位等,解决我们日常生活中倒计时中遇到的问题,通过实训让我们明白了一些芯片的功能和我们焊接时遇到的一些虚焊问题,让我们及时弄懂并几时解决。

关键字:倒计时 ; 74LS192 ;74LS48 ;数码管;PickAlong with the computer in society today, the field of MCU application is continuously deeply, and traditional control test on new PE updates. We are doing this in the practice, the design of the countdown to use process 74LS192 counter to realize the countdown function, use 74LS48 decoder for digital tube. Main function is to regular countdown, etc, to solve the countdown reset our daily life in the countdown, problems encountered by training some chips, let us understand the functions and we meet some empty when soldering welding problems, let us understand how to solve and timely.引言 (5)1实验要求 (6)1.1设计任务 (6)1.2设计要求 (6)2实验原理 (6)2.1 复位电路原理 (6)2.2 74LS48驱动器原理 (6)2.3 74LS192原理 (8)2.3.1 中规模十进制计数器 (8)2.3.2实现任意进制计数的方法 (8)2.4 555时基电路原理 (9)2.5 设计原理 (10)2.6 PCB原理图 (10)结论 (11)谢辞 (12)参考文献 (13)附录 (14)数电在生活中应用非常广泛,本次实训内容是倒计时,在生活中随处可见到倒计时的应用,可以用于红绿灯中等待时间,也可以应用于篮球等比赛的倒计时器用。

数电课程设计--十秒倒计时定时器

数电课程设计--十秒倒计时定时器

辽宁师范大学《数字电路》课程设计(09级本科)题目:定时器1 学院:物理与电子技术学院专业:电子信息工程班级:班级学号: 14 姓名:张宁指导教师:赵静邱红张卓完成日期:2011 年 10 月 27 日一.设计内容及要求10秒的倒计时定时器,倒计时要求用数码显示,当定时到1秒时,有声音提示,提示声音为秒,当倒计时到0时停止计数二.总体方案设计由设计内容及要求,我设计了一个以NE555构成的多谐振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒时响。

三.单元模块设计.1.以NE555构成的多谐振荡器图1 图二NE555的震荡器在本电路中的周期T=C(R1+R2)=1S图三。

图三为多谐振荡电路R1和R2,C的值确保震荡周期为1秒,图三的右下角为复位电路,与下一部分一同介绍。

2.倒计时电路图四图五由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR,PL非,CPu的值必须分别为0,1,1。

由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。

Q0,Q1,Q2,Q3为计数器输出端接到74LS47上。

NE555的3号管脚与74LS192的4浩管脚相连。

一秒发出一个脉冲,74LS192开始倒计时。

图六4.逻辑电路逻辑电路的作用在于将74LS192输出为一,即Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。

图七图八图九图九管脚功能描述:管脚3(A1)、4(A2)是负边沿触发的输入端;管脚5(B)是同相施密特触发器的输入端,对于慢变化的边沿也有效;管脚10(C ext)和管脚11(R ext/C ext)接外部电容(C x),电容范围在10pF~10μF之间;管脚9(R int)一般与管脚14(V CC,接+5V)相连接;如果管脚11为外部定时电阻端时,应该将管脚9开路,把外接电阻(R x)接在管脚11和管脚14之间,电阻的范围在2~40kΩ之间。

数字电路-篮球比赛30秒倒计时

数字电路-篮球比赛30秒倒计时

《篮球竞赛30S计时器》课程设计说明书题目篮球竞赛30S计时器学生姓名学号所属学院专业班级 2指导教师教师职称目录一、设计要求 (1)二、电路设计与分析2.1设计原理 (1)2.2单元模块 (1)1、秒脉冲信号的产生 (1)2、计数器 (3)3、时钟信号控制电路 (4)4、显示模块电路 (5)5、报警电路 (6)三、外部操作开关 (6)四、设计原理图与电路总图 (6)五、仿真测试性能 (7)六、总结 (9)一、设计要求篮球竞赛30S计时器的基本要求:1)具有显示30S计时功能2)设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能3)在直接清零时,要求数码显示器灭灯4)计时器为30S递减计时,计时间隔为1S5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号〖主要元器〗:NE555(1),74LSl92(2)等二、电路分析与设计2.1设计原理篮球竞赛30S计时器的总体设计方案如下:它主要包括秒脉冲信号,30S 计数器,译码显示器部分,报警电路和外部操作开关五部分组成,其中外部操作开关包括接直接清零,启动和暂停/继续。

2.2单元模块1、秒脉冲信号的产生555定时器主要是通过外接电阻R和电容器C构成充、放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关管的通断。

这就很方便地构成从微秒到数十分钟的延时电路、以及多谐振荡器、单稳态触发器、施密特触发器等脉冲波形产生和整形电路。

NE555为8脚时基集成电路,各脚主要功能1地 GND 2触发3输出 4复位5控制电压 6门限(阈值)7放电 8电压Vcc用555定时器构成多谐振荡器:用555定时器构成多谐振荡器电路如图(a)所示。

电路没有稳态,只有两个暂稳态,也不需要外加触发信号,利用电源VCC 通过R1和R2向电容器C充电,使uC逐渐升高,升到2VCC /3时,uO跳变到低电平,放电端D导通,这时,电容通过电阻R2和D端放电,使uC 下降,降到VCC/3时,uO跳变到高电平,D端截止,电源VCC又通过R1和R2向电容器C充电。

(完整word版)数字电路-篮球比赛30秒倒计时(word文档良心出品)

(完整word版)数字电路-篮球比赛30秒倒计时(word文档良心出品)

《篮球竞赛30S计时器》课程设计说明书题目篮球竞赛30S计时器学生姓名学号所属学院专业班级 2指导教师教师职称目录一、设计要求 (1)二、电路设计与分析2.1设计原理 (1)2.2单元模块 (1)1、秒脉冲信号的产生 (1)2、计数器 (3)3、时钟信号控制电路 (4)4、显示模块电路 (5)5、报警电路 (6)三、外部操作开关 (6)四、设计原理图与电路总图 (6)五、仿真测试性能 (7)六、总结 (9)一、设计要求篮球竞赛30S计时器的基本要求:1)具有显示30S计时功能2)设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能3)在直接清零时,要求数码显示器灭灯4)计时器为30S递减计时,计时间隔为1S5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号〖主要元器〗:NE555(1),74LSl92(2)等二、电路分析与设计2.1设计原理篮球竞赛30S计时器的总体设计方案如下:它主要包括秒脉冲信号,30S 计数器,译码显示器部分,报警电路和外部操作开关五部分组成,其中外部操作开关包括接直接清零,启动和暂停/继续。

2.2单元模块1、秒脉冲信号的产生555定时器主要是通过外接电阻R和电容器C构成充、放电电路,并由两个比较器来检测电容器上的电压,以确定输出电平的高低和放电开关管的通断。

这就很方便地构成从微秒到数十分钟的延时电路、以及多谐振荡器、单稳态触发器、施密特触发器等脉冲波形产生和整形电路。

NE555为8脚时基集成电路,各脚主要功能1地 GND 2触发3输出 4复位5控制电压 6门限(阈值)7放电 8电压Vcc用555定时器构成多谐振荡器:用555定时器构成多谐振荡器电路如图(a)所示。

电路没有稳态,只有两个暂稳态,也不需要外加触发信号,利用电源VCC 通过R1和R2向电容器C充电,使uC逐渐升高,升到2VCC /3时,uO跳变到低电平,放电端D导通,这时,电容通过电阻R2和D端放电,使uC 下降,降到VCC/3时,uO跳变到高电平,D端截止,电源VCC又通过R1和R2向电容器C充电。

数字电路技术基础-倒计时器实验

数字电路技术基础-倒计时器实验

倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。

通过key1控制切换计数与暂停状态。

当key1按下时,开始倒计时,再次按下,暂停计时。

通过key2控制置初值。

暂停状态下,当key2按下时,回到初始状态,数码管显示2500。

设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。

提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。

使用课前预习的状态机模块,控制25分钟倒计时模块。

调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。

1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。

数字电路实训报告-30秒倒计时

数字电路实训报告-30秒倒计时

实训报告
实训名称:数字电子技术实训
专业:电子信息工程
班级:0906班
姓名:于孟飞
学号:07090640
指导老师:程光老师
实习时间:09-10第2学期第15周
译码显示电路由74LS48和共阴极七段LED显示器组成。

管代替。

当启动开关闭合时,控制电路应封锁时钟信号CP,
示电路显示“30”字样;当启动开关断开时,计数器开始倒计数;当暂停/连续开关拨到相应位置起到暂停和继续的功能,当译码器显示“00”时同时
现短路,应为是正面视角布线注意反焊板时不要弄错位置。

把元器件全部按照布线图完成走线。

周四:(电路检测)
对已焊接完成的电路进行冷态测试,
看电路是否存在短路,检测所有IC地线
和5V供电是否接通,在检测无误后通电。

观察电路是否可以按照设计实现功能,如
果出问题则依照原理检测问题。

我的电路接通电后发现在复位后预
置数正确,为30,但在拨通连续开关后
、暂停开关问题,发现非门输入输出电位一样,检测发现短路,原因为漏锡短接到正面飞线且挡到没有发现,排除故障后发现工作正常,在检测中发现问题最好不要继续通电测试,尤其是发现IC发烫的时候,到此检测完成。

倒计时电路

倒计时电路

倒计时电路(总8页) -CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除概述倒计时计时器的用途很广泛。

它可以用做定时,控制被定时的电器的工作状态,实现定时开或者定时关,最长定时时间为999分钟。

它还可以用做倒计时记数,最长记时时间为999秒,有三位数码管显示记数状态。

设计思路: 用三个可预置数的减计数器组成三位二-十进制减计数器,用三个译码器和三个LED数码管显示器,CMOS电路组成秒/分选择器,另外有控制电路,控制器随着计数器计数的状态发生改变,计时期间,用电气开关断开,当计时完毕时,用电气开关闭合。

目录1.设计任务及目的 .................................................. - 2 -2.倒计时计数器组成及原理 ............................................ - 2 -2.1倒计时计数器 .................................................................................................... - 2 -2.2工作原理 ......................................................................................................... - 3 -43.拟定设计方案 ........................................................................................................ - 3 -53.1 用proteus进行仿真设计................................................................................... - 3 -3.2计时器电路: .................................................................................................... - 4 -3.3控制电路 ........................................................................................................... - 5 -3.4 报警电路 ........................................................................................................... - 6 -4.使用手册.................................................................................................................. - 7 -5.整体电路图 .............................................................................................................. - 7 -6.课程设计总结 ...................................................................................................... - 8 -101.设计任务及目的设计任务:1).构思设计方案及实现方式。

45秒篮球倒计时数电课程设计

45秒篮球倒计时数电课程设计

摘要本电路主要由五个模块构成:秒脉冲发生器、计数器、译码显示电路、把握电路和报警电路,主要承受 555 作为振荡电路, 由 74LS192、74LS48 和七段共阴LED 数码管构成计时显示电路, 具有直接把握计数器启动计数、暂停/连续计数、清零、译码显示电路的显示等功能。

当把握电路的置数开关闭合时,在数码管上显示数字 45,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警信号。

整个电路的设计借助于Multisim 11.0 仿真软件和数字规律电路相关理论学问,并在Multisim 11.0 下设计和进展仿真,得到了预期的结果。

设计内容及要求:本设计主要能完成:显示 45 秒倒计时功能;系统设置外部操作开关,把握计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为 45 秒递减计时其计时间隔为 1 秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

方案论证及比较:方案一:用555 时基电路构成的多谐振荡器来产生频率为 1Hz 的脉冲,即输出周期为 1 秒的方波,接着将该信号送到计数器 74LS192 的 CP 减计数脉冲端, 再通过译码器 74LS48 把输入的 8421BCD 码经过内部作和电路“翻译”成七段输出,这样加在 LED 七段数码管上显示十进制数,然后在适当的位置设置开关或把握电路即可实现计数器的直接清零,启动和暂停/连续、报警等功能。

方案二:由 14 位二进制串行计数器/分频器和振荡器 CD4060、BCD 同步加法计数器 CD4518 构成的秒信号发生器。

电路中利用CD4060 组成两局部电路。

一局部是14 级分频器,其最高分频数为16384;另一局部是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz 的振荡器。

震荡器输出经14 级分频后在输出端Q14 上得到1/2 秒脉冲并送入由1/2 CD4518 构成的二分频器,分频后在输出断Q1 上得到秒基准脉冲。

倒计时电路multisim(可打印修改)

倒计时电路multisim(可打印修改)

姓名班级学号实验日期节次教师签字成绩自主设计实验—正计时与倒计时1.实验目的利用两个74LS161,四个七段显示器以及一些逻辑门,实现两数和恒为99的电路,即一个作为正计时另一个可作为倒计时的电路.2.总体设计方案或技术路线如果实现这种功能,利用四个74LS161比较简单,本电路利用逻辑门来代替其他两个74LS161.3.实验电路图4. 仪器设备名称、型号74LS161,74LS00,74LS32,LED显示器。

5.理论分析或仿真分析结果按照上图连接电路,利用两个74LS161,四个或门,七个与非门和与非门组成的异或门组成正及时与倒计时电路。

经过multisim软件仿真,成功实现正计时与倒计时功能。

仿真试验成功。

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)如图连接电路;其中包括两个74LS161,一个74LS32还有四个74LS00。

接入1Hz时钟脉冲信号,观察四个LED显示器是否满足条件,并进行调试。

经过调试,替换损坏元件与导线,实验成功.7.实验结论通过两个74LS161还有一些与非门和或门成功组成正计时与倒计时电路.正计时部分由0至99,倒计时部分由99至0.8.实验中出现的问题及解决对策在个位跳到8的时候,也会向上进一位,最后通过改变或门连接位置,替换一些不稳定元件解决该问题。

9.本次实验的收获和体会、对电路实验室的意见或建议倒计时可以通过与非门和或门转化正计时得到。

最大的收获在获得倒计时的方法上,通过与非门,或门,异或门得到把正计时转化为倒计时。

希望实验室能准备更多颜色的导线,因为连非常复杂的电路时比较容易混乱,若用不同颜色把输入,输出,特殊端口区别开来,有利于同学们做实验。

数电设计课程设计---倒计时电路

数电设计课程设计---倒计时电路

倒计时电路一:设计任务和要求要求:从按下开始键开始计时,8秒后蜂鸣器鸣响报警,两秒后停止。

主要单元:(1)开始键按下提供负脉冲开始计时。

(2)秒发生器由555构成。

(3)计数,驱动,显示电路由74LS190,,7LS48,BCD-7段数码管构成。

(4)鸣响时间控制由74LS123实现。

(5)鸣响由蜂鸣器等构成。

(6)可附加适当的门电路与电阻,电容。

二:设计思路及原理框图(1):原路框图(2)首先采用555构成一个多谢振荡器,并使其输出振荡周期为1s,为后续74LS190计数电路提供1s一次的上升沿,并将74LS190接成减计数器。

即功能端c/b接高电平。

74LS190的四位输出再有74LS32以及74LS00构成的控制电路在输出为0、0、0、0时,控制74LS190的端使其跳变为“1”。

从而使74LS190再减计数减为零时,保持零。

停止工作。

其接单负脉冲,并用置数1、0、0、0。

74LS190的四位输出亦接由74LS48以及BCD-7段数码管构成的数码显示电路。

所以当给提供负脉冲后,数码显示8,并1s下降1到0并保持0。

由74LS32和74LS00构成的控制电路会在数码管从1跳变到0时发出从0跳变到1的信号,亦即一个上升沿时钟,所以由此时钟触发74LS123使74LS123发出2s的高电平脉冲,并由此2s的高电平脉冲控制蜂鸣器报警。

三:单元电路与计算1:由555构成的秒发生器(1s)2:由74LS190构成的开关倒计时电路接单负脉冲控制74LS190异步置数端,当给负脉冲时,则将(1000)即十进制的8置入74LS190中,且接“1“使74LS190为减计数器,因为控制电路会在8~1时给”0”.在减计数器在“0”时,会给“1”此时74LS190会保持为“0”。

3:由74LS48以及BCD-7段数码管构成的显示电路真值表:4:由74LS32以及74LS00构成的控制电路真值表5:由74LS123以及蜂鸣器构成的报警电路四:总电路图及元器件清单1:总电路图2原器件清单五:安装与调试1:问题与解决(1)本次试验先用了单排面包板,最后发现太小,于是更换为双排型面包板。

数字电路课程设计---五秒倒计时提醒电路

数字电路课程设计---五秒倒计时提醒电路

第一章系统概述1.1项目的研究内容及要求1.1.1项目的研究内容:五秒倒计时报警电路1.1.2 项目的研究要求:要求设计一个五秒倒计时提醒电路,具体要求:每次报警灯亮0.5秒,停顿0.5秒。

1.2 项目的研究目的及范围1.2.1 项目的研究目的:实现以上的实验要求,让灯泡亮0.5秒,停顿0.5秒。

1.2.2 项目的研究范围:在EWB环境下用所给的逻辑元件来实现实验要求。

1.3 研究的实用价值和理论意义:随着社会科学技术的迅速发展,人们对报警器的性能提出了越来越高的要求。

传统的报警器通常采用触摸式、开关报警器等。

这类报警器具有性能稳定、实用性强等特点,但是也具有应用范围窄等缺点。

而且安全性能也不是很好。

光电报警就很好的改善了这点。

如今,光电报警器已经广泛应用到工农业生产、自动化仪表、医疗电子设备等领域本实验的设计借助于模拟电路和数字逻辑电路,采用模块化的设计思想,使设计变得简单、方便、灵活性强。

电路简单容易实现,工作稳定,因此得到了广泛的应用。

数字电子技术是当前发展最快的学科之一,数字逻辑器件已从60年代的小规模集成电路(SSI)发展到目前的中、大规模集成电路(MSI、LSI)及超大规模集成电(VLSI)。

相应地,数字逻辑电路的设计方法在不断地演变和发展,由原来的单一的硬件逻辑设计发展成三个分支,即硬件逻辑设计(中、小规模集成器件)、软件逻辑设计(软件组装的LSI和VSI,如微处理器、单片机等)及兼有二者优点的专用集成电路(ASIC)设计。

报警器采用中,小规模集成器件SGZ07,IC555,QM-25,等元器件设计而成.适用于煤气,天然器,汽油等各种烟雾的报警,并且结合了的温控报警器的,可以监控温度的高低,第一时间给工作人员或维修人员予以警告,使其可以根据实际情况给以相应的措施,保障人生财产安全。

第二章 实验原理2.1要解决的问题(1) 用555定时器来提供稳定频率的电信号 (2) 用12进制计数器来实现倒计时计数2.2实验依据和实验设备2.2.1实验依据:74169是12进制可顺序、也可倒序计数,而且巧妙的是它的前三位正好可以实现5秒倒计时,后一位正好可以实现0.5秒的闪烁,0.5秒的停息。

数字电路课程设计---五秒倒计时提醒电路

数字电路课程设计---五秒倒计时提醒电路

第一章系统概述1.1项目的研究内容及要求1.1.1项目的研究内容:五秒倒计时报警电路1.1.2项目的研究要求:要求设计一个五秒倒计时提醒电路,具体要求:每次报警灯亮0.5秒,停顿0.5秒。

1.2项目的研究目的及范围1.2.1项目的研究目的:实现以上的实验要求,让灯泡亮0.5秒,停顿0.5秒。

1.2.2项目的研究范围:在EW酥境下用所给的逻辑元件来实现实验要求。

1.3研究的实用价值和理论意义:随着社会科学技术的迅速发展,人们对报警器的性能提出了越来越高的要求。

传统的报警器通常采用触摸式、开关报警器等。

这类报警器具有性能稳定、实用性强等特点,但是也具有应用范围窄等缺点。

而且安全性能也不是很好。

光电报警就很好的改善了这点。

如今,光电报警器已经广泛应用到工农业生产、自动化仪表、医疗电子设备等领域本实验的设计借助于模拟电路和数字逻辑电路,采用模块化的设计思想,使设计变得简单、方便、灵活性强。

电路简单容易实现,工作稳定,因此得到了广泛的应用。

数字电子技术是当前发展最快的学科之一,数字逻辑器件已从60年代的小规模集成电路(SSI)发展到目前的中、大规模集成电路(MSI、LSI )及超大规模集成电(VLSI)。

相应地,数字逻辑电路的设计方法在不断地演变和发展,由原来的单一的硬件逻辑设计发展成三个分支,即硬件逻辑设计(中、小规模集成器件)、软件逻辑设计(软件组装的LSI和VSI,如微处理器、单片机等)及兼有二者优点的专用集成电路(ASIC)设计。

报警器采用中,小规模集成器件SGZ07,IC555,QM-25,等元器件设计而成.适用于煤气,天然器,汽油等各种烟雾的报警,并且结合了的温控报警器的,可以监控温度的高低,第一时间给工作人员或维修人员予以警告,使其可以根据实际情况给以相应的措施,保障人生财产安全。

第二章实验原理2.1要解决的问题(1)用555定时器来提供稳定频率的电信号(2)用12进制计数器来实现倒计时计数2.2实验依据和实验设备2.2.1实验依据:74169是12进制可顺序、也可倒序计数,而且巧妙的是它的前三位正好可以实现5秒倒计时,后一位正好可以实现0.5秒的闪烁,0.5秒的停息。

倒计时数字电路

倒计时数字电路

合肥学院ED综合设计报告题目:倒计时数字电子电路班级:11级电子信息工程(2)班组员:潘凌林、夏雪、籍家兴指导老师:高先和、姚红一、实验目的1、掌握任意时间倒计时器工作原理;2、学会对原理图进行合理的布线;3、锻炼对电路板进行焊接的能力;4、加深对74LS161、08、192等芯片工作原理的了解。

二、实验要求(1)设计倒计时器的电路图;(2)选择合适的芯片;(3)倒计时器具有预置数功能。

三、实验设备74LS192*3, 74LS08*2, 74LS03, 74LS04,74LS48*2,555,电阻10KR和100R,万能板,5V稳压电源,按键开关,相关焊接工具。

四、实验原理1.设计思路我们选用的器材有3个74LS192,它是加、减十进制计数器,选用了555多谐振荡器,它能产生一个1hz的方波信号来作为秒脉冲,作为它的cp脉冲。

又因为我们要选用2片计数器构成2位计数的状态,固要采用计数器的级联的方法,我们选用的是并行进位的级联,因为这个大大的加快了运行的速度。

因为每个片子需要一个5V的直流电源来驱动,故我们还需要用一个7V的变压器,整流桥与一个三端稳压器来设计一个电源。

因为我们要的是以秒为单位的计数器,所以我们需要的是1hz的cp信号,这个可以由555多谐振荡器来完成。

555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。

然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。

当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。

然后四线与门的后面接蜂鸣器就可以完成报警功能。

系统还能开机复位,包括计数器清零。

2.原理图五、实验内容星期二:指导老师对我们需要做的一些实验进行了大致分析和阐述,和对实验时需要注意的事项进行了说明,然后同学开始各自分组和选题,最后由班长统计提交。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

合肥学院
ED综合设计报告
题目:倒计时数字电子电路
班级:11级电子信息工程(2)班组员:凌林、夏雪、籍家兴
指导老师:高先和、红
一、实验目的
1、掌握任意时间倒计时器工作原理;
2、学会对原理图进行合理的布线;
3、锻炼对电路板进行焊接的能力;
4、加深对74LS161、08、192等芯片工作原理的了解。

二、实验要求
(1)设计倒计时器的电路图;
(2)选择合适的芯片;
(3)倒计时器具有预置数功能。

三、实验设备
74LS192*3, 74LS08*2, 74LS03, 74LS04,74LS48*2,555,电阻10KR和100R,万能板,5V稳压电源,按键开关,相关焊接工具。

四、实验原理
1.设计思路
我们选用的器材有3个74LS192,它是加、减十进制计数器,选用了555多谐振荡器,它能产生一个1hz的方波信号来作为秒脉冲,作为它的cp脉冲。

又因为我们要选用2片计数器
构成2位计数的状态,固要采用计数器的级联的方法,我们选用的是并行进位的级联,因为这个大大的加快了运行的速度。

因为每个片子需要一个5V的直流电源来驱动,故我们还需要用一个7V的变压器,整流桥与一个三端稳压器来设计一个电源。

因为我们要的是以秒为单位的计数器,所以我们需要的是1hz的cp信号,这个可以由555多谐振荡器来完成。

555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。

然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。

当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。

然后四线与门的后面接蜂鸣器就可以完成报警功能。

系统还能开机复位,包括计数器清零。

2.原理图
五、实验容
星期二:指导老师对我们需要做的一些实验进行了大致分析和阐述,和对实验时需要注意的事项进行了说明,然后同学开始各自分组和选题,最后由班长统计提交。

星期三、星期四、星期五:分好组后,大家将自己设计的实验需要用的元器件列好清单提交,然后由学校统一购买,由于一些原因耽搁,所以元器件迟迟未到,所以这三天就自己组从网上了解各芯片功能和焊接技巧。

星期六:大家去实验室领取实验元件,对没有的元件再列好清单由班委去统一购买。

领完元件后就开始正式开始实验,由于时间紧,所以大家就加班加点的做,一直到晚上十点钟才将万能板焊接的差不多。

星期日:今天的任务就是开始布线,根据原理图的布线方式对万能板进行布线,然后再焊接555的振荡器构成脉冲方波的电路板,最后接入电源检验,调试。

第二天上午做最后的调试,最后由指导老师进行验收。

六、实验总结
电子技术综合课程设计是针对模拟电子技术,数字逻辑电路及电路分析课程的要求,对我们进行综合性实践训练的实践学习环节,它包括选择课程、电子电路设计、组装。

调试和编写总结报告等实践容。

通过这次实验让我们初步掌握电子线路的试验、设计方法。

学生根据设计要求和芯片参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。

毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。

第三,培养勤于思考的习惯,同时通过设计并制作电子产类品,增强学生这方面的自信心及兴趣。

第四,通过分组,让我们团队了解团队合作的重要性,也培养了我们团队合作的意识。

虽然两天实验的时间非常短,但是我们每天晚上都加班到十点,大家分工合作,最后我们完成了这次的实验。

相关文档
最新文档