数字电路实验报告-4选1数据选择器及其应用

合集下载

eda实验报告(全加器,四选一数据选择器,交通灯)

eda实验报告(全加器,四选一数据选择器,交通灯)

浙师大数理与信息工程学院学生实验报告实验一简单组合逻辑电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。

用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。

2、实验内容用开关K7,K8作为输入设置,从输出指示LED观察OUT21,22,23,24等的变化。

3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shier isPort( k7,k8:in std_logic;out20,out21,out22,out23,out24:out std_logic); end shier;architecture sr of shier isbeginout20<=k7;out21<=not k7;out22<=k7 and k8;out23<=k7 or k8;out24<=k7 xor k8;end sr;波形仿真实验二三八译码器电路设计1、实验目的熟悉软件使用,了解CPLD设计的过程。

用画逻辑图和直接使用VHDL语言的两种方法进行逻辑设计。

2、实验内容用开关K1,K2,K3,K4作为输入设置,组成一个高输出有效的三八译码器,从输出指示LED观察OUT1到OUT8随K1,K1,K3置值的改变而引起相应的变化。

3、实验条件EDA实验箱、QUARTUS2软件4、实验设计原理图VHDL源程序library ieee;use ieee.std_logic_1164.all;entity shisan isport( a,b,c:in std_logic;y:out std_logic_vector(7 downto 0));end shisan;architecture one of shisan isbeginprocess(a,b,c)variable d:std_logic_vector(2 downto 0);begind:=(c&b&a);if d<="000" theny<="00000001";elsif d<="001" theny<="00000010";elsif d<="010" theny<="00000100";elsif d<= "011"theny<="00001000";elsif d<= "100"theny<="00010000";elsif d<="101"theny<="00100000";elsif d<="110" theny<="01000000";elsif d<="111" theny<="10000000";else null;end if;end process;end one;波形仿真实验四四选一数据选择器电路设计1、实验目的熟悉和了解VHDL语言涉及数字电路的流程,掌握完整的EDA设计方法。

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计

实验一四选一数据选择器的设计实验目的:设计并实现一个四选一数据选择器,可以将四个输入信号中的一个作为输出信号进行传递。

实验原理:四选一数据选择器是多路选择器的一种,主要由输入端、控制端和输出端组成。

输入端有四个信号输入线,控制端有两个控制输入线,输出端有一个信号输出线。

通过控制输入线的不同组合,可以选择其中一个输入信号传递到输出端。

实验材料与器件:1.1片74LS153(二选四数据选择器)芯片2.4个开关按钮3.连接线4.电源线5.示波器(可选)实验步骤:1.连接电路:a. 将芯片74LS153的Vcc引脚连接到正极电源线,将GND引脚连接到负极电源线。

b.将芯片的1A、1B、2A、2B四个输入引脚分别连接到四个开关按钮。

c.将芯片的S0、S1两个控制输入引脚分别连接到两个控制开关按钮。

d.将芯片的Y输出引脚连接到输出信号线。

e.将电源线接入电源插座,通电。

2.设置控制输入:a.初始状态下,所有控制输入引脚都为低电平状态。

b.可以通过控制两个开关按钮的开关状态来改变控制输入引脚的电平。

3.输出结果观测:a.打开示波器,将其输入端连接到芯片的输出引脚,设置为观测模式。

b.通过改变控制输入的电平状态,可以选择不同的输入信号进行输出。

c.观察示波器上的输出信号波形,确保输出信号与选择的输入信号一致。

4.实验记录:a.记录不同控制输入状态下的输入信号及输出信号。

b.通过对比观测结果,验证芯片的正常工作。

实验注意事项:1.连接电路时,注意电源接线正确,避免短路或电路损坏。

2.实验过程中操作电路和仪器时,保持手部干燥,并确保安全。

3.实验完成后,关闭电源,将电路和仪器恢复原状,并整理实验记录。

4.若没有示波器,可以通过观察输出信号线连接的LED灯的亮灭来判断输出信号的状态。

实验结果与讨论:在实验中,我们设计并实现了一个四选一数据选择器,并通过改变控制输入的电平状态来选择不同的输入信号进行输出。

通过观察观测结果,我们可以发现当控制输入引脚的电平状态为低-低时,选择1A作为输出;当为低-高时,选择1B作为输出;当为高-低时,选择2A作为输出;当为高-高时,选择2B作为输出。

数电实验报告之数据选择器应用

数电实验报告之数据选择器应用

数电实验报告之数据选择器应用一、实验目的通过实验掌握数据选择器的基本原理、工作方式及应用;会用数据选择器解决实际问题。

二、实验设备数字电路实验箱、IC7400、IC74157、IC74245、LED灯、按钮、开关、电阻、导线等。

三、实验原理数据选择器是一种多通道数据选择开关,具有“选通/隔断”特点,常用于多路输入/输出,或在多路输入中选择一路进行处理等。

IC74157是一种四选一数据选择器,IC74245是一种八位双向缓冲器。

四、实验内容将IC74157连接到数字电路实验箱的插座上,采用手工方式对数组进行选择,将选择结果连接到LED灯上显示。

将IC74245连接到数字电路实验箱的插座上,在输入端口连接一个开关,通过开关控制数据输入端口,将输出端口连接到LED灯上进行输出。

五、实验步骤1. 将IC74157插入数字电路实验箱插座中2. 在波特图的基础上,根据实验需求,将IC74157与其他器件连接。

3. 将LED灯连接到IC74157的输出端口上4. 将手动选择的数据输入到IC74157的输入端口中,并观察LED灯的显示情况。

5. 将IC74245插入数字电路实验箱插座中。

6. 将开关连接到IC74245的输入端口上。

7. 调整管脚引脚,确定正确的插入和连接方向。

8. 将IC74245与其他器件连接起来。

9. 将LED灯连接到IC74245的输出端口上,并观察IEC灯的显示情况。

10. 通过控制开关,输入不同的数据,观察输出端口的变化。

六、实验结果通过手动选择的方式,将数据选择选中,将其输出到LED灯上,观察LED灯的状态表示相应的输出结果。

通过开关的控制,可以输入不同的数据,使数据选择器的输入数据发生变化,相应的输出结果通过LED灯显示出来。

七、实验分析数据选择器是一种功能强大的器件,常用于多路输入/输出,或多个输入选取一个输出进行处理等。

通过实验,我们可以了解数据选择器的基本原理、工作方式及应用,并能掌握使用数据选择器解决实际问题的方法。

数据选择器及其应用实验报告

数据选择器及其应用实验报告

数据选择器及其应用实验报告一、实验目的本次实验旨在深入了解数据选择器的工作原理、功能特点以及其在数字电路中的广泛应用。

通过实际操作和测试,掌握数据选择器的逻辑功能和使用方法,培养实际动手能力和逻辑思维能力。

二、实验原理数据选择器(Data Selector)又称多路选择器(Multiplexer),是一种能从多个输入数据中选择一个输出的组合逻辑电路。

常见的数据选择器有 2 选 1、4 选 1、8 选 1 等。

以 4 选 1 数据选择器为例,它有 4 个数据输入端(D0、D1、D2、D3)、2 个地址输入端(A1、A0)和 1 个输出端(Y)。

地址输入端的不同组合决定了从哪个数据输入端选择数据输出。

数据选择器的逻辑表达式为:Y = D0(A1'A0')+ D1(A1'A0) +D2(A1A0')+ D3(A1A0)三、实验器材1、数字电路实验箱2、 74LS153 双 4 选 1 数据选择器芯片3、逻辑电平指示器4、导线若干四、实验内容与步骤1、测试 74LS153 数据选择器的逻辑功能将 74LS153 芯片插入实验箱的插座中。

按照芯片引脚图,将地址输入端 A1、A0 分别连接到逻辑电平开关,数据输入端 D0 D3 分别连接到高电平(1)或低电平(0)。

观察逻辑电平指示器,记录不同地址输入组合下的输出结果,并与理论值进行比较。

2、用 74LS153 实现逻辑函数给定一个逻辑函数,例如 F = A'B + AB'将逻辑函数转化为与数据选择器输入输出关系相匹配的形式。

根据转换后的表达式,连接电路,验证输出结果是否与预期相符。

3、数据选择器的级联使用两个74LS153 芯片进行级联,实现8 选1 数据选择器的功能。

连接电路,测试级联后的逻辑功能。

五、实验数据及结果分析1、逻辑功能测试结果| A1 | A0 | D0 | D1 | D2 | D3 | Y |||||||||| 0 | 0 | 0 | 1 | 0 | 1 | 0 || 0 | 1 | 1 | 0 | 1 | 0 | 1 || 1 | 0 | 0 | 1 | 1 | 0 | 1 || 1 | 1 | 1 | 0 | 0 | 1 | 1 |实验结果与理论值相符,验证了 74LS153 数据选择器的逻辑功能的正确性。

数据选择器及其应用实验报告

数据选择器及其应用实验报告

数据选择器及其应用实验报告实验目的:
本实验的目的是通过实现数据选择器的功能,加深对于数字电路的理解,并提升对于数字电路实现的实践能力。

实验原理:
数据选择器是一种能够从多个数据信号中选择特定信号输出的数字电路,通常它有一个或多个数据输入线、一个或多个控制输入线、一个输出线和一个使能输入线。

在数据选择器输出线上的输出值,取决于控制输入线上的值以及选择从哪一个数据输入线接收数据信号。

在本次实验中,我们使用的是双二选一的数码开关。

“双”指的是它一共有两个信道供选择,“二选一”则代表只会选择其中一个信道作为输出。

实验步骤:
1.根据实验原理和实验材料的提供,搭建实验电路。

2.设置信号源,对选择器进行输入数据和控制信号的测试。

3.根据信号源输出的数据,通过实验电路计算出数据选择器输出的结果。

4.逐一更改控制信号的值,反复测试并记录数据。

并对实验记录进行整理和比较分析,以达到理解、检验和加深对数据选择器的认识。

实验结果:
在实验中我们完成了数据选择器的搭建和调试,并通过多次实验数据的记录与比较,成功实现了数据选择器的功能。

实验结论:
通过本次实验,我们深入学习了数据选择器的工作原理和实现方式,并从中进一步了解了数字电路的基本概念和实现方式。


过反复实验和分析,我们成功完成了数据选择器的功能调试,提升了我们的实践能力和对数字电路的理解。

完整版四选一多路选择器试验报告

完整版四选一多路选择器试验报告

实验报告学院:电气工程学院班级: 专业:电子信息工程“所有程序〞 一 “ Altera 〞 一 “ Quartus II 9.0〞 “Quartus II 9.0(32bit )Q 启动软件.2 .选择 “File 〞 一 “New Project Wizard 〞,出现 “Introduction 〞 页3 .单击“Next〞按钮,进入工程名称的设定、工作目录的选择.4 .在对话框中第一行选择工程路径;第二行输入工程名,第三行输入顶层文件的实体名6 .新建设计文件,选择“File |New 〞 ,在NeW 寸话框中选择Device DesignFiles 下的Verilog File ,单击OK 完成新建设计文件.7 .在新建设计文件中输入Verilog 程序.8 .结果仿真编写四选一电路的VHDL 弋码并仿真,编译下载验证:实验程序:LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;ENTITY mux4 ISPORT (input: IN STD_LOGIC_VECTOR (3 DOWNTO 0);sel: IN STD_LOGIC_VECTOR (1 DOWNTO 0);y : OUT STD_LOGIC);END mux4 ;ARCHITECTURE rtl OF mux4 ISBEGINPROCESS (input, sel)BEGINIF (sel= "00〞)THENy<= input (0);ELSIF (sel= "01")THENy<= input (1);1.选择“开始〞 面, 如下列图,该页面介绍所要完成的具体任务.ELSIF (sel= “10〞)THENELSE END IF;END PROCESS;END rtl;二:程序运行图:LIBRARY IEEE TOSE- ITEE . STD LOGTC i 1.ALLj■ ENTITY aiucial 15S PC®LT(ifil!rtlt : TN 5TD_XX>GTC_VECTOR ( 3 DCffiiTC ?); a r b:I^STD2tMIC?ysCOT STD_DOGICJ j|E=iD ENTITYS ARTHTTEZTTTRH rtl CF ir :^J£4al 15SIGNAL 5elsSTD_L©GIC -_VECTOR (1 BWNTO .?事■ BEGIN,FRCCE55(ZLnpUT ;/ aeL) IS BEGIPTIF {S*l-"0O*)ZMEM input (O);ELSXFI3el="OZe > 二三E¥kmm ?工? wE^SXF I ael-"10*P TH£^y<-i npuiE ?学〉:yc-lnpuEqm):END IFJEND PR&Cr35:END ARCHITECTTURE rrl ::波形图:y<= input (2); Ey<= input (3);本次实验学习了组合逻辑电路、编码器的功能与定义,学习了Verilog和VHD语言,同时熟悉了利用Quartus II开发数字电路的根本流程和Quartus II 软件的相关操作,学会了使用Vector Wav被形仿真.实验过程中也遇到了很多自己不能解决的问题,在同学和老师的帮助下算是知道问题的所在,有待在今后的学习中不断完善.指导教师意见签名:年月日实验总结。

数据选择器极其应用实验报告

数据选择器极其应用实验报告

数据选择器的应用一、实验目的了解74LS00,74LS86,74LS153芯片的内部结构和功能;了解数据选择器的结构和功能;了解全加器和全减器的结构和功能;学习使用数据选择器(74LS153)设计全加器和全减器;进一步熟悉逻辑电路的设计和建立过程。

二、实验原理1.四选一数据选择器74LS153所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。

引脚排列如图3-3,功能如表3-2。

图3-3 74LS153引脚功能表3-2S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。

1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。

2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。

如:A1A0=00 则选择DO数据到输出端,即Q=D0。

A1A0=01 则选择D1数据到输出端,即Q=D1,其余类推。

数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。

2.实现全加器:列出全加器的真值表:S 真值表:得到o C 真值表:对S 的真值表进行降维,得到:对o C 的真值表进行降维,得到:使用数据选择器实现时,D0,D1,D2,D3分别代表四选一数据选择器的四个输入端,并用A,B 作控制端,电路图如下图:图一0(D0)i C (D2)i C (D1)1(D3)一.实验内容1.按图一搭建逻辑电路,测试实验结果,与真值表进行对照。

*该过程中应注意:实验室所提供的器件与非门并不够用,需要用一个异或门改装成非门,如下图:F=⊕=AA1四.实验收获1.学会了全加器全减器的设计过程,为以后更好的应用打好了基础;2.更加了解了逻辑电路的设计流程;3.搭建逻辑电路的过程中,一定要小心翼翼操作,防止任何错误。

实验四 数据选择器及其应用

实验四 数据选择器及其应用

学生实验报告系别电子工程学院课程名称数字电子技术实验班级11通信1班实验名称数据选择器及其应用姓名钟伟纯实验时间2012年11月15日学号201141302114 指导教师张宗念报告内容一、实验目的和任务1、掌握数据选择器的逻辑功能和使用方法。

2、学习用数据选择器构成组合逻辑电路的方法。

二、实验原理介绍数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。

实现数据选择功能的逻辑电路称为数据选择器。

它的功能相当于一个多个输入的单刀多掷开关,其示意图如下:图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。

1、八选一数据选择器74LS15174LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择D0~D7这8个数据源,具有两个互补输出端,同相输出端Y和反相输出端WN。

其引脚图如下图11-2所示,功能表如下表11-1所示,功能表中‘H’表示逻辑高电平;‘L’表示逻辑低电平;‘×’表示逻辑高电平或低电平:图11-2 74LS151的引脚图表表11-1 74LS151的功能表2、双四选一数据选择器74LS15374LS153数据选择器有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一个输出端Z,它们的功能表如表11-2,引脚逻辑图如图11-3所示。

其中,EA、EB使能控制端(1、15脚)分别为A路和B路的选通信号,I0~I3为四个数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。

S0、S1为地址信号,8脚为GND,16脚为V CC。

3、用74LS151组成16选1数据选择器用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。

当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。

数据选择器及其应用

数据选择器及其应用

实验二数据选择器及其应用一、实验目的(1)通过实验的方法学习数据选择器的电路结构和特点。

(2)掌握数据选择器的逻辑功能及基本应用。

二、实验设备74LS00,74LS153 ,数字电路实验箱。

三、实验原理双四选一数据选择器为TTL双极型数字集成逻辑电路74LS153,它有两个4个选1,其中D0,D1,D2,D3为数据输入端,Q为输出端,A0,A1为控制端,同时控制两个选择器的数据输出,S为工作状态控制端。

四、实验内容1.导弹发射问题导弹发射实验需要两名指挥员和至少一名操作员确认发射,否则不能发射。

将两名指挥员和两名操作员分别记为A、B、C、D信号输入。

输出结果用小灯显示。

(1)用74LS153和必要门电路实现函数F(A,B,C,D)=ABCD+ABC’D+ABCD’(2 ) 列出真值表通过卡诺图化简真值表,可得:F=AB(C+D)。

(3)门电路实现C+D通过74LS00门实现,将(C+D)输出端接到数据选择器的输入端,将AB分别接到74LS153电路的A0、A1上。

其他三门接地。

2、用74LS153实现全加器功能(1)列出真值表(S、CO与CI的关系。

其中S与CI的四组关系为:同、反、反、同而CO与CI的四组关系为:0、同、同、1同表示和CI的取值相同,反则表示取值相反,0或1分别表示无论CI取何值,都取0 或1。

(3)电路连接与非门只用一组,主要用于产生CI的非信号。

将上排四选一数据选择器用于输出S下排用于输出CO。

1A2,1A3的CI信号经过74LS00的非逻辑后输入。

2A1,2A4则分别输入低电平和高电平。

其他门直接和CI连接。

数电实验报告 数据选择器及其应用

数电实验报告  数据选择器及其应用

实验2实验报告数据选择器及其应用一、实验目的1.了解组合逻辑电路的设计步骤、分析方法和测试方法;2.掌握数据选择器的工作原理与逻辑功能;3.掌握双四选一数据选择器74LS153的应用。

二、实验设备1.数字电路实验箱2 、数字双踪示波器3.集成电路: 74LS004、集成电路: 74LS153三、实验内容1.测试双四选一数据选择器74LS153的逻辑功能;2、设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F;3.用74LS00与74LS153设计一位全加器。

四、实验结果1、测试双四选一数据选择器74LS153的逻辑功能。

如图S5和S6分别接A和B, 负责输入地址;S1.S2.S3.S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。

举例说明:如图所示, 当S5和S6都输入高电平时, 选择输出1C3和2C3的内容, 即S4和S10的输入均为高电平, 小灯亮。

设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F。

由题意可得出逻辑表达式如下:F=AB(C+D)分析: 由于只有A.B都为高电平时F才有可能输出高电平, 所以让A和B作为地址输入端。

而当A.B均为高电平时, C和D任意一个为高电平则F为高电平。

所以用74LS00实现C和电路图如下:S1、S2接地址选择端, S3、S4先做或运算再接1C3端。

2、用74LS00和可以通B S CI过降维将输入位A和B作为地址选择位,进位位和以及0和1作为被选择数据输入,表示S和CO。

真值表如下:A0 0 CI 低0 1 CI非CI1 0 CI非CI1 1 CI 高五、故障排除在做第二个实验内容的时候, 发现A.B值不是高电平的时候小灯也会亮。

经过检查电路发现1C0, 1C1, 1C2悬空了, 相当于接了高电平。

EDA技术4选1多路选择器实验报告

EDA技术4选1多路选择器实验报告

EDA技术4选1多路选择器实验报告实验报告:EDA技术4选1多路选择器实验一、实验目的本实验旨在通过EDA(Electronic Design Automation)技术,利用4选1多路选择器实现数据选择功能,加深对数字电路设计基础知识的理解,掌握EDA技术的实际应用。

二、实验原理4选1多路选择器是一种数字逻辑电路,它有4个数据输入端,1个数据输出端和2个选择端。

通过控制选择端的状态,可以选择其中一个数据输入端的数据输出到输出端。

三、实验步骤1.实验准备在实验前,需要准备以下设备和软件:•数字逻辑实验箱•EDA软件(如Quartus II)•连接线若干•万用表•实验电路板•4选1多路选择器芯片(如74LS153)•发光二极管及限流电阻(用于显示输出结果)2.实验操作(1)将4选1多路选择器芯片连接到实验电路板上,并按照要求连接发光二极管及限流电阻。

(2)使用EDA软件创建新项目,并选择合适的FPGA芯片型号。

(3)在新项目中添加4选1多路选择器模块,并将其与FPGA芯片连接。

(4)根据实验要求,编写控制逻辑的VHDL或Verilog代码。

(5)将控制逻辑代码编译并下载到FPGA芯片中。

(6)使用万用表检查连接是否正确,发光二极管是否亮起。

(7)通过改变选择端的输入状态,观察发光二极管亮灭情况,验证4选1多路选择器的数据选择功能。

四、实验结果与分析通过本次实验,我们成功地利用4选1多路选择器实现了数据选择功能。

在EDA软件中,我们设计了合适的控制逻辑,将选择的输入数据传送到输出端,并通过发光二极管显示输出结果。

当改变选择端的输入状态时,观察到发光二极管的亮灭情况随之改变,证明了4选1多路选择器的数据选择功能。

通过本次实验,我们深入了解了数字电路设计的基本知识,掌握了EDA技术在实践中的应用。

通过使用EDA软件进行设计、编译和下载程序,我们能够更加便捷地进行数字电路实验。

此外,通过实际操作,我们学会了使用数字逻辑实验箱、万用表等实验设备,提高了实践操作能力。

四选一数据选择器

四选一数据选择器

新疆大学实习(实训)报告实习(实训)名称:电工电子实习学院:建筑工程学院专业、班级:建环091班指导教师:报告人:学号:时间:4选1数据选择器的设计1.设计目的:(1)掌握4选1数据选择器的基本结构和工作原理;(2)掌握运用MAX+PLUSⅡ软件对4选1数据选择器的设计、分析方法;(3)对EDA技术的发展及应用有一个整体的把握;2.设计内容2.1maxplus2的认识:Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统。

使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。

设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII 把这些设计转自动换成最终所需的格式。

其设计速度非常快。

对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。

特别是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合向我们学生这样的初学者使用。

2.24选1数据选择器电路的分析:(1)4选1数据选择器的原理图:图1由上图可知:输出函数:Q=S )(103012101100A A D A A D A A D A A D +++其中,A0,A1是地址输入端;D0,D1,D2,D3是数据的输入端;S 是控制开关:若S 输入的是信号是低电平,则无论数据的输入端输入的是什么信号,均不能通过,若S 输入的是信号是高电平,输出的信号Q 通过输出端Y 输出来。

(1)创建电路(2)分析: a.波形图图3-1 波形图1:为高电平i图2由两幅波形图容易知道,输出信号符合原理公式 Q=S )(103012101100A A D A A D A A D A A D +++;据此可知,所设计电路是正确的。

并且可以观察到延迟现象。

我设计的电路图存在约5.9ns 的延迟时间。

(VHDL实验报告)四选一数据选择器的设计

(VHDL实验报告)四选一数据选择器的设计
数字电路EDA设计与应 用
四选一数据选择器
乱弹的枇杷
二、实验目的
1、熟悉四选一数据选择器的工作原理。
2、进一步掌握VHDL顺序语句和并行语句的使用。
3、进一步熟悉QUARTUSⅡ软件的使用方法和VHDL输入的全
过程。
三、实验原理
在数字系统中常需要将多路数据有选择地分别传送到公共
数据线上去,完成这一功能的逻辑电路称为数据选择器。 数据选择器是一种通用性很强的中规模集成电路,它的用 途很广。
3)点击 Add Hardware 按钮,出现 Add Hardware 对话框,在 Add Hardware 对话 框中,从 Hardware type 列表中选择所需要硬件类型,如果是 USB 接口的请参照用户使用手册 中的 USB 电缆的安装与使用,如果使用的是并口下载线则选取如下图 所示的硬件类型,点击 OK按钮,完成对硬件类型的设置。回到编程器硬件设置窗口, 点击 Close 按钮退出设置。则在 编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。 4)此次实验室所用的安装驱动的方式为:右键“我的电脑”--设备管理器--双击有 黄色问号通用USB--驱动程序--更新驱动程序--从列表或安装……--下一步--下一步--从磁盘安 装--浏览--在我的电脑D盘中选择找到USB bluster即可安装好驱动。 5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个 工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加 载文件后,再点选 Progam/Configure,编程模式选取 JTAG 模式,点击 STRAT进行文件加载, 直到加载进度变为 100%,文件成功加载完成。
五、实验步骤

四选一数据选择器实验报告

四选一数据选择器实验报告

四选一数据选择器11微电子黄跃1117426021【实验目的】1.四选一数据选择器,2.学习Verilog HDL文本文件进行逻辑设计输入;3.学习设计仿真工具modelsim的使用方法;【实验内容】1. 实现四选一数据选择器的“Verilog ”语言设计。

2. 设计仿真文件,进行验证。

【实验原理】数据选择器又称为多路转换器或多路开关,它是数字系统中常用的一种典型电路。

其主要功能是从多路数据中选择其中一路信号发送出去。

所以它是一个多输入、单输出的组合逻辑电路。

4选1数据选择器的元件符号如图一所示,其中D0、D1、D2、D3是4位数据输入端,A0和A0是控制输入端,Y是数据输出端。

当A1A0=00时,输出Y=D1;A1A0=01时,Y=D1;A1A0=10时,Y=D2;A1A0=11,Y=D3。

A1 A0 D Y0 0 D00 1 D11 0 D2 1 1 D3 D0 D1 D2 D3图一4选1数据选择器的元件符号由真值表写出输出逻辑表达式 301201101001)()()()(D A A D A A D A A D A A F+++=由逻辑表达式做出逻辑电路图。

【程序源代码】module mux4_1(sel,in,out); input [1:0] sel; input [3:0] in; output out; reg out;always@(sel or in) begin case ({sel[1],sel[0]}) 2'b00: out=in[0]; 2'b01: out=in[1]; 2'b10: out=in[2]; 2'b11: out=in[3]; default: out=1'bx; endcase end图 二 4选1数据选择器原理图Endmodule测试程序代码如下:module test_mux4_1;reg [1:0] S;reg [3:0] IN;wire Y;mux4_1 M1(.sel(S),.in(IN),.out(Y));always #10 IN[0]=~IN[0];always #20 IN[1]=~IN[1];always #40 IN[2]=~IN[2];always #80 IN[3]=~IN[3];initialbegin S=1'b0;IN=4'h0;#100 $stop;endalways #10 S=S+1;endmodule【仿真和测试结果】【实验心得和体会】这次实验与上次相比有明显的进步,通过这次实验我对modelsim的应用更加得心应手,深切的体会到了verilog是一种描述性语言,这次实验总的来说是比较顺利的,但在实验过程中还是遇到了一些问题,比如端口的匹配问题,在写程序的时候误将位宽写在了变量名的后面,虽然程序能够运行但有警告,仿真波形是错误的,可见在写程序时警告有时也是致命的,这要求我们在学习的过程中思想一定要严谨!其次在做实验时一定要多想,例如在学习这门课时,书上说在模块外部输入可以是wire型或reg型,但在写程序时激励模块往往要初始化数据,所以编程时其类型往往声明为reg型,通过这个例子我明白了书上所说的有时往往是一个比较笼统的,而更多的需要我们自己去实践、探索、勤思考,只有这样我们才能把书本上的知识转化为属于我们自己的知识,才能在学习的道路上走的更远!。

EDA技术4选1多路选择器实验报告

EDA技术4选1多路选择器实验报告

EDA技术4选1多路选择器实验报告实验报告:EDA技术4选1多路选择器1.引言多路选择器是一种常见的组合电路,用于从多个输入信号中选择出一个输出信号。

在数字电路设计中,采用EDA(Electronic Design Automation)技术可以方便地进行多路选择器的设计、模拟和验证。

本实验旨在使用EDA技术设计、模拟和验证一个4选1多路选择器。

2.设计本实验采用Verilog HDL语言进行设计。

首先,我们定义一个4位的输入端口sel,用于选择4个输入信号in0、in1、in2和in3中的一个。

然后,我们定义一个输出端口out,用于输出被选择的信号。

接下来是设计的核心部分,根据4位选择信号sel的不同取值,选择相应的输入信号作为输出信号。

我们使用一个case语句来实现多路选择器的选择功能。

3.仿真为了验证设计的正确性,我们使用EDA技术对多路选择器进行了仿真。

在仿真中,我们可以输入不同的选择信号和输入信号组合,观察输出结果是否符合预期。

通过仿真,我们可以验证多路选择器在不同输入和选择信号组合下的正确性和稳定性。

如果输出结果与预期一致,那么我们可以得出结论:设计的多路选择器是正确的。

4.验证验证是设计过程中非常重要的一步,通过验证可以判断设计是否达到了预期的功能和性能要求。

我们使用EDA技术对多路选择器进行了验证。

在验证过程中,我们更加注重多路选择器的性能指标,如延迟和功耗等。

我们可以通过模拟分析和优化来改进多路选择器的性能。

5.总结本实验通过使用EDA技术设计、模拟和验证了一个4选1多路选择器。

通过实验我们学习了EDA技术在数字电路设计中的作用和应用。

同时,我们了解了多路选择器的基本原理和设计方法,掌握了Verilog HDL语言的设计和仿真技巧。

通过本实验,我们发现EDA技术能够极大地提高数字电路设计的效率和准确性。

通过合理应用EDA技术,我们可以设计出更加可靠、高效的数字电路,并且可以方便地对其进行仿真和验证。

数据选择器实验报告

数据选择器实验报告

一、实验目的1. 理解数据选择器的基本原理和功能。

2. 掌握数据选择器的使用方法及其在数字电路中的应用。

3. 通过实验加深对组合逻辑电路的理解。

二、实验原理数据选择器是一种数字电路,它可以从多个输入端中选择一个数据输出。

其工作原理如下:根据地址码的不同,数据选择器从N路输入中选择一路输出。

常见的数据选择器有4选1、8选1等类型。

本实验使用的是双4选1数据选择器74LS153,它具有4个数据输入端(D0、D1、D2、D3)、3个地址输入端(A0、A1、A2)和1个使能端(G)。

当G=0时,数据选择器处于正常工作状态;当G=1时,所有数据输入端均被封锁,输出端输出高阻态。

三、实验器材1. 双4选1数据选择器74LS1532. 逻辑门电路3. 电源4. 指示灯5. 连接线6. 逻辑分析仪四、实验步骤1. 连接电路根据实验要求,连接双4选1数据选择器74LS153、逻辑门电路、电源、指示灯和连线。

2. 设计电路(1)根据实验要求,设计一个简单的数据选择器电路,实现以下功能:当A0=0、A1=0时,输出D0;当A0=0、A1=1时,输出D1;当A0=1、A1=0时,输出D2;当A0=1、A1=1时,输出D3。

(2)根据设计要求,将74LS153的数据输入端与逻辑门电路连接,实现数据选择功能。

3. 测试电路(1)使用逻辑分析仪或示波器观察输出端波形,验证电路是否满足设计要求。

(2)根据实验要求,测试不同地址码下的输出结果,确保电路正常工作。

4. 分析实验结果根据实验结果,分析数据选择器的工作原理和特点,总结实验心得。

五、实验结果与分析1. 实验结果通过实验,验证了双4选1数据选择器74LS153在正常工作状态下能够实现数据选择功能。

在不同地址码下,输出端输出对应的数据输入端数据。

2. 实验分析(1)数据选择器在数字电路中具有广泛的应用,如数据分配、数据选择、数据比较等。

(2)在设计数据选择器电路时,需要注意以下几点:a. 根据实际需求选择合适的数据选择器类型和规模;b. 合理安排数据输入端、地址输入端和使能端;c. 仔细检查电路连接,确保电路正常工作。

EDA技术4选1多路选择器实验报告

EDA技术4选1多路选择器实验报告
Y
0
0
A
0
1
B
1
0
C
1
1
D
(三)实验仪器及器材
PC机一台;Quartus II软件一套;KHF-5型实验开发系统一套
(四)实验方法及步骤
实验方法及步骤与实验一各个步骤一致,按照实验一进行就行。
1,打开Quartus II开发环境;
2,新建项目;
注意:文件夹名不能用中文,也最好不要用数字;不要将文件夹设在计算机已有的安装目录中,更不要将工程文件直接放在安装目录中。
3、完成软件仿真,管脚配置后下载进行硬件测试。3、完成简单与非门电路的VHDL设计或原理图设计,仿真后下载到实验箱上进行硬件测试。
(二)实验原理:
4选1数据选择器有两个地址输入端:S1、S0;4个数据输入端:D、C、B、A;1个输出端Y。其真值表如表1示。
表14选1数据选择器真值表
地址输入
输出
S0
S1
(五)实验数据及分析处理
源程序
4选1数据选择器--用IF-THEN语句
波形仿真结果
RTL电路图
(六)实验结果体会
实验前熟悉数据选择器的工作原理,实验过程中结合理论进行分析;并且初步掌握了QuartusⅡ环境下4选1数据选择器的VHDL设计或原理图设计。波形仿真时仍遇到问题,通过老师指导解决了那个问题,其他方面基本没问题,达到了实验目的。
实验报告
学院:电子信息工程学院专业:dianzi
电子信息工程技术2014年12月20日星期六
姓名
康杰
学号
2013030341079
班级
2013电技二班
指导师
余华
课程名称
EDA技术与VHDL

数电实验报告之数据选择器应用

数电实验报告之数据选择器应用

数字电子技术实验报告实验二:数据选择器及其应用班级:0805101 学号2012302045 姓名:杨帆日期:2014/11/4一、实验目的:1、通过实验的方法了解组合逻辑电路的设计步骤、分析方法和测试方法;2、掌握数据选择器的工作原理和逻辑功能及其基本应用;3、用数据选择器设计导弹发射试验;4、用数据选择器实现一位全加器。

二、实验设备:1、数字电路实验箱;2、集成芯片的型号:74LS153双四选一数据选择器;3、74LS00集成逻辑门。

三、实验原理:1、数据选择器又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据中选择任何数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、波形发生器等。

2、常见的双四选一数据选择器为TTL双极型数字集成逻辑电路74LS153,它有两个4选1,外形为双列直插。

引脚的定义:四、实验原理图和实验结果:1、导弹发射试验:设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F;卡诺图:F=AB(C+D)00 01 11 10ABCD00 0 0 0 001 0 0 1 011 0 0 1 010 0 0 1 000 01 11 10ABCD0 0 0 D 01 0 0 1 00 1AB0 0 01 0 C+DA1↔A, A0↔B1D₀↔0 ,1D₁↔0,1D₂↔0,1D₃↔C+D2.用74L S00与74L S153设计一位全加器:1)、逻辑电路设计及原理推导:设Ai、Bi分别表示两个加数,Si为本位相加和,Ci-1为低位向本位的进位,Ci 表示本位向高位的进位。

S:Aі0 1Bі0 Cі-1Cі­11 Cі­1Cі­1Cі:Aі0 1Bі0 0 Cі­11 Cі­1 12)、实验结果:1、当A i、B i和C i-1都为低电平时:此时灯X1与X2都不亮。

数据选择器_实验报告

数据选择器_实验报告

一、实验目的1. 理解数据选择器的工作原理和逻辑功能。

2. 掌握数据选择器的引脚及其作用。

3. 学会使用数据选择器进行组合逻辑电路的设计。

4. 通过实验验证数据选择器的应用。

二、实验原理数据选择器,又称多路选择器,是一种能够从多个数据输入中选取一路输出到输出端的数字电路。

其基本原理是利用控制信号来选择所需的输入数据。

常见的数据选择器有二选一、四选一、八选一等。

三、实验器材1. 74LS153双四选一数据选择器2. 逻辑分析仪3. 电源4. 连接线5. 逻辑门电路四、实验步骤1. 搭建实验电路:按照实验原理图连接好电路,包括数据选择器、输入端、输出端和控制端。

2. 输入数据测试:向数据选择器的输入端输入不同的数据,观察输出端的变化。

3. 控制信号测试:改变控制信号的状态,观察输出端的变化,验证数据选择器的逻辑功能。

4. 组合逻辑电路设计:设计一个组合逻辑电路,使用数据选择器实现所需的逻辑功能。

5. 电路仿真:使用逻辑分析仪对电路进行仿真,验证电路的正确性。

五、实验结果与分析1. 输入数据测试:当输入端的数据分别为0和1时,输出端能够正确地输出对应的值。

2. 控制信号测试:当控制信号改变时,输出端能够正确地选择对应的输入数据。

3. 组合逻辑电路设计:设计了一个组合逻辑电路,使用数据选择器实现了所需的逻辑功能。

4. 电路仿真:仿真结果显示,电路能够正确地实现预期的逻辑功能。

六、实验心得1. 通过本次实验,我对数据选择器的工作原理和逻辑功能有了更深入的了解。

2. 实验过程中,我学会了如何使用数据选择器进行组合逻辑电路的设计。

3. 实验让我认识到,在实际应用中,数据选择器可以简化电路设计,提高电路的可靠性。

4. 通过本次实验,我提高了自己的动手能力和逻辑思维能力。

七、总结本次实验成功地实现了数据选择器的测试和应用,验证了数据选择器的逻辑功能。

通过实验,我对数据选择器有了更深入的了解,并掌握了使用数据选择器进行组合逻辑电路设计的技巧。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电学实验报告模板
实验原理
数据选择器的功能类似一个单刀多掷开关,如图1所示。

数据选择器在地址码的控制下,从多路数据输入中选择其中一个并将其送到一个公共的输出端。

图1 数据选择器示意图
1. 4选1数据选择器
图2 4选1数据选择器及其逻辑
图2所示为4选1数据选择器及其逻辑。

该电路有4路输入数据和为地址输入。

为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。

由图2(b)可以得到该数据选择器的逻辑函数式为
(1)
2. 用4选1数据选择器扩展成8选1数据选择器
8选1数据选择器有8路数据输入,3位地址输入。

如果用4选1数据选择器实现8选1,需要2片4选1数据选择器,如图所示。

其中,是通过4选1数据选择器的使能控制端接入的。

由图5并根据式(1),可以得到
显然实现了8选1的逻辑功能。

图5 用4选1数据选择器扩展成8选1数据选择器实验仪器
实验内容及步骤
1. 测试和验证74HC153的逻辑功能
(1)集成电路芯片74HC153引脚图
74HC153是双4选1数据选择器,芯片内部包含两个独立的、完全相同的4选1数据选择器。

图7-5所示为引脚图。

每一个4选1数据选择器都设置了一个使能控制端。

两个4选1数据选择器共享地址输入端。

图6 74HC151引脚图
(2)测试和验证74HC153的逻辑功能
按图7连接电路。

实验数据记录在表7-1。

验证74HC153的逻辑功能。

图7 测试74HC151的逻辑功能实验电路
表1
(3)用一片74HC153扩展成8选1数据选择器
图8 74HC153扩展成8选1数据选择器实验电路按图8连接电路。

实验数据记录在表2。

验证电路的逻辑功能。

表2
实验结果及分析
1.实验结果
2.分析
该实验结果表明74HC153元件实现了4选1的数据选择功能
74HC153与74LS00两个4选1数据选择器拓展实现了8选1的逻辑功能
实验结论
1.74HC153具有4选1逻辑功能,能够实现数据选择,其有4路输入数据D0、D1、D2、D3,A0、A1为地址输入,为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。

从实验结果可见,利用指定A1A0的代码,能够从D0、D1、D2、D3这四个输入数据中选出任何一个并送到输出端。

因此,用数据选择器可以实现数据的多路分时传送。

2.用两个4选1数据选择器能够拓展成一个8选1数据选择器。

相关文档
最新文档