EDA序列信号发生器
EDA课设序列信号发生器设计
绪论本次课程设计通过利用quartusII软件实现序列发生器。
从而对EDA进一步的熟悉,了解,和掌握。
通过本课程的学习,可以了解硬件描述语言编程方法 ,掌握VHDL编程方法,掌握序列发生器的形成。
EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。
综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。
综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。
也就是说,综合器是软件描述与硬件实现的一座桥梁。
综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD 相映射的网表文件。
适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。
适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。
硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。
HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。
设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。
EDA技术与Verilog_HDL(潘松)第6章习题答案
6-1 在Verilog设计中,给时序电路清零(复位)有两种不同方法,它们是什么,如何实现?答:同步清零、异步清零,在过程语句敏感信号表中的逻辑表述posedge CLK用于指明正向跳变,或negedge用于指明负向跳变实现6-2 哪一种复位方法必须将复位信号放在敏感信号表中?给出这两种电路的Verilog 描述。
答:异步复位必须将复位信号放在敏感信号表中。
同步清零:always @(posedge CLK) //CLK上升沿启动Q<=D; //当CLK有升沿时D被锁入Q异步清零:always @(posedge CLK or negedge RST) begin //块开始if(!RST)Q<=0; //如果RST=0条件成立,Q被清0else if(EN) Q<=D;//在CLK上升沿处,EN=1,则执行赋值语句end//块结束6-3 用不同循环语句分别设计一个逻辑电路模块,用以统计一8位二进制数中含1的数量。
module Statistics8(sum,A); output[3:0]sum;input[7:0] A;reg[3:0] sum;integer i;always @(A)beginsum=0;for(i=0;i<=8;i=i+1) //for 语句if(A[i]) sum=sum+1;else sum=sum;endendmodule module Statistics8(sum,A); parameter S=4;output[3:0]sum;input[7:0] A;reg[3:0] sum;reg[2*S:1]TA;integer i;always @(A)beginTA=A; sum=0;repeat(2*S)beginif(TA[1])sum=sum+1;TA=TA>>1;endendendmodulerepeat循环语句for循环语句module Statistics8(sum,A);parameter S=8;output[3:0]sum;input[7:0] A;reg[S:1] AT;reg[3:0] sum;reg[S:0] CT;always @(A) beginAT={{S{1'b0}},A}; sum=0; CT=S;while(CT>0) beginif(AT[1])sum=sum+1;else sum=sum;begin CT= CT-1; AT=AT>>1; end end endendmodule6-3 用不同循环语句分别设计一个逻辑电路模块,用以统计一8位二进制数中含1的数量。
eda信号发生器设计
目录摘要 (2)一、实验目的 (2)二、主要功能 (2)三、实验原理 (3)四、软件设计 (3)4.1、程序 (3)4.2、波形图 (4)五、引脚锁定后下载程序 (5)六、实验结果 (5)七、实训心得 (5)八、参考文献 (9)九、附录 (9)摘要信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。
在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器关键词:信号发生器;FPGA;Verilog HDL语言一、实验目的进一步巩固理论知识培养所学理论知识在实际中的应用能力:掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法:利用EDA软件设计一个电子技术综合问题,培养Verilog HDL编程;书写技术报告的能力,为以后进行工程实际问题的研究打下设计基础。
二、主要功能信号发生器,它用于产生被测电路所需特定参数的电测试信号。
在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。
当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。
当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。
并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性,有输出指示。
信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。
正弦信号是使用最广泛的测试信号。
EDA函数信号发生器设计说明书
目录第一章设计意义 (2)第二章设计说明 (3)2.1设计思路 (3)2.2模块介绍 (3)2.2.1模块一 (3)2.2.2模块二 (3)2.2.3模块三 (3)2.2.4模块四 (4)第三章原理图 (5)第四章仿真波形 (6)4.1分频模块 (6)4.2正弦波仿真波形 (6)4.3三角波仿真波形 (7)4.4方波仿真波形 (8)4.5波形选择模块仿真波形 (8)4.6频率选择模块仿真波形 (9)第五章总结 (10)参考文献 (11)附录 (12)本次课设要求设计一个函数信号发生器。
它能产生三种波形:正弦波、三角波和方波。
同时能在不同的频率下显示。
这次设计主要是练习了分频电路的设计,ROM的设计,计数器的设计、选择电路的设计和数码显示的设计。
加强了对when语句,if语句等语句的理解。
拓展了对VHDL语言的应用。
平时练习与考试都是设计一个简单的电路,本次课设综合了好几个电路的设计。
同时我也增强了对分模块设计电路的应用。
对我以后的电路设计生涯都是有所帮助的!函数信号发生器是应用了VHDL语言,通过数模转换来显示波形,实现了数模转换的应用。
在工作中,我们常常会用到信号发生器,它是使用频度很高的电子仪器。
信号发生器是指产生所需参数的电测试信号的仪器。
按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。
信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。
可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。
eda课程信号发生器课程设计
eda课程信号发生器课程设计一、课程目标知识目标:1. 学生能理解EDA课程中信号发生器的原理与功能,掌握相关电子元件的工作特性。
2. 学生能够掌握信号发生器的分类、特点及应用场景,了解各类信号发生器的优缺点。
3. 学生能够运用所学知识,分析并设计简单的信号发生器电路。
技能目标:1. 学生能够熟练运用EDA软件进行信号发生器电路的设计、仿真与调试。
2. 学生能够独立完成信号发生器的硬件搭建,并进行基本的性能测试。
3. 学生能够通过实际操作,提高动手实践能力,培养解决实际问题的能力。
情感态度价值观目标:1. 学生能够培养对电子工程的兴趣,激发创新意识,形成主动学习的习惯。
2. 学生能够培养团队协作精神,学会与他人沟通交流,共同解决问题。
3. 学生能够认识到信号发生器在现代社会中的重要作用,增强社会责任感和使命感。
本课程针对高年级学生,在分析课程性质、学生特点和教学要求的基础上,明确以上课程目标。
通过分解目标为具体的学习成果,使学生在掌握专业知识的同时,提高实践操作能力和团队协作能力,培养良好的情感态度价值观。
为后续的教学设计和评估提供明确的方向。
二、教学内容本章节教学内容依据课程目标,紧密结合教材,确保科学性和系统性。
主要内容包括:1. 信号发生器原理与分类:讲解信号发生器的基本原理、功能及分类,重点介绍函数发生器、脉冲发生器等常见类型的工作原理及应用。
2. 电子元件特性分析:分析常用电子元件(如运放、晶体管、二极管等)在信号发生器中的作用,掌握其工作特性。
3. 信号发生器电路设计:根据实际需求,设计不同类型的信号发生器电路,分析电路性能,优化设计方案。
4. EDA软件应用:教授学生如何使用EDA软件进行信号发生器电路的设计、仿真与调试,提高实际操作能力。
5. 硬件搭建与性能测试:指导学生搭建信号发生器硬件电路,进行基本性能测试,分析测试结果,找出问题并解决。
教学内容安排如下:1. 第1周:信号发生器原理与分类,电子元件特性分析。
序列信号发生器
EDA设计(二)VHDL语言实验报告实验名称:序列信号发生器姓名:学号:班级:实验时间:一、实验目的1、学会使用VHDL语言设计时序逻辑电路。
2、学会使用VHDL语言设计二进制加法器功能模块。
3、学会使用VHDL语言设计数据选择器功能模块。
4、学会用原理图法组成序列信号发生器。
二、实验内容1、分析4位二进制计数器74163的逻辑功能,用VHDL语言设计二进制计数器74163。
2、用VHDL语言设计8选1数据选择器。
3、用原理图法连接电路,设计31415926序列信号发生器。
4、通过仿真和下载验证设计电路的正确性。
三、实验原理1根据数电知识可以知道,74163计数器具有同步计数、同步清零、保持和同步置数的功能,而根据所学74163计数器功能可以知道CLK,CLR,LD,ENP,ENT具有优先级,当满足其一时,将执行相应的功能,因此,可以得到如下VHDL语言.2如此,74163计数器的VHDL语言完成,然后可以按“file→create/update→create symbol files for current file”步骤生成实物器件。
8选1数据选择器,其功能描述如下:输入端有3个端口为地址端口,8个为数据端口,一个输出端口。
根据3个地址端口给出的地址,输出端输出相应端口的数据。
实物器件中,数据端每个端口只能记载0或者1这俩个数据,而VHDL语言程序中,每个端口可以根据自己的需要,输出不同的数据。
如:此次实验要求输出π的8位有效数字,即31415926。
根据如上描述,可以得到如下程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity data_gen isport(clk:in std_logic;q:out std_logic_vector(3 downto 0));end data_gen;architecture rhl of data_gen isbeginprocess(clk)variable temp:std_logic_vector(3 downto 0);beginif clk'event and clk='1' thentemp:=temp+1;end if;case temp(2 downto 0) iswhen"000"=>q<="0011";when"001"=>q<="0001";when"010"=>q<="0100";when"011"=>q<="0001";when"100"=>q<="0101";when"101"=>q<="1001";when"110"=>q<="0010";when"111"=>q<="0110";end case;end process;end rhl;当上述程序写完时,可根据之前步骤生成相应的实物器件,然后再按如图方式连接,可得到如下输出波形:当完成上述步骤后,便可以进行上机仿真了。
EDA实验报告实验三:序列信号发生器与检测器设计++++
Nb大学实验报告学生姓名:EDA教父学号:6100xxxx99 专业班级:通信实验类型:□验证□综合□设计□创新实验日期:2012-10-15 实验成绩:实验三序列信号发生器与检测器设计一、实验目的1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;2、学习有限状态机法进行数字系统设计;3、学习使用原理图输入法进行设计二、设计要求完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下:1、先用原理图输入法设计0111010011011010序列信号发生器,其最后6BIT数据用LED显示出来;2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”,否则输出为“0”;三、主要仪器设备1、微机1台2、QuartusII集成开发软件1套3、EDA实验装置1套四、实验原理1、序列信号发生器复位信号CLRN。
当CLRN=0时,使CNT=0000,当CLRN=1时,不影响程序运行,每来一个CLK脉冲CNT加一。
2、序列信号检测器状态转移图:五、实验步骤1、信号发生器1)建立工作库文件夹,输入设计项目VHDL代码,如下:L I B R A R Y I E E E;U S E I E E E.S T D_L O G I C_1164.A L L;U S E I E E E.S T D_L O G I C_A R I T H.A L L;U S E I E E E.S T D_L O G I C_U N S I G N E D.A L L;E N T I T Y X L S I G N A L16_1I SP O R T(C L K,C L R N:I N S T D_L O G I C;L E D:B U F F E R S T D_L O G I C_V E C T O R(5D O W N T O0);L E D O U T:O U T S T D_L O G I C_V E C T O R(5D O W N T O0);Z O U T:O U T S T D_L O G I C);E N D X L S I G N A L16_1;A R C H I T E C T U R E o n e O F X L S I G N A L16_1I SS I G N A L C N T:S T D_L O G I C_V E C T O R(3D O W N T O0);S I G N A L Z R E G:S T D_L O G I C;--S I G N A L C N T8:S T D_L O G I C_V E C T O R(2D O W N T O0);B E G I Np r o c e s s(c l K)b e g i nc a s e c n t i sW H E N"1000"=>L E D<="101001";W H E N"1001"=>L E D<="010011";W H E N"1010"=>L E D<="100110";W H E N"1011"=>L E D<="001101";W H E N"1100"=>L E D<="011011";W H E N"1101"=>L E D<="110110";W H E N"1110"=>L E D<="101101";W H E N"1111"=>L E D<="011010";W H E N"0000"=>L E D<="110100";W H E N"0001"=>L E D<="101001";W H E N"0010"=>L E D<="010011";W H E N"0011"=>L E D<="100111";W H E N"0100"=>L E D<="001110";W H E N"0101"=>L E D<="011101";W H E N"0110"=>L E D<="111010";W H E N"0111"=>L E D<="110100";W H E N O T H E R S=>L E D<=N U L L;E N D C A S E;E N D P R O C E S S;P R O C E S S(C L K,C L R N)B E G I NI F(C L R N='0')T H E N C N T<="0000";E L S EI F(C L K'E V E N T A N D C L K='1')T H E NC N T<=C N T+'1';E N D I F;E N D I F;E N D P R O C E S S;P R O C E S S(C N T)B E G I NW H E N"0000"=>Z R E G<='0';W H E N"0001"=>Z R E G<='1';W H E N"0010"=>Z R E G<='1';W H E N"0011"=>Z R E G<='1';W H E N"0100"=>Z R E G<='0';W H E N"0101"=>Z R E G<='1';W H E N"0110"=>Z R E G<='0';W H E N"0111"=>Z R E G<='0';W H E N"1000"=>Z R E G<='1';W H E N"1001"=>Z R E G<='1';W H E N"1010"=>Z R E G<='0';W H E N"1011"=>Z R E G<='1';W H E N"1100"=>Z R E G<='1';W H E N"1101"=>Z R E G<='0';W H E N"1110"=>Z R E G<='1';W H E N"1111"=>Z R E G<='0';W H E N O T H E R S=>Z R E G<='0';E N D C A S E;E N D P R O C E S S;Z O U T<=Z R E G;L E D O U T<=L E D;e n d o n e;2)对其进行波形仿真,如下图:2、信号检测器1)建立工作库文件夹,输入设计项目VHDL代码,如下:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCHK ISPORT(DIN, CLK, CLR : IN STD_LOGIC;ss : OUT STD_LOGIC_VECTOREND SCHK;ARCHITECTURE behav OF SCHK ISSIGNAL Q : INTEGER RANGE 0 TO 5 ;SIGNAL D : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGIND <= "11010" ;PROCESS( CLK, CLR )BEGINIF CLR = '1' THEN Q <= 0 ;ELSIF CLK'EVENT AND CLK='1' THENCASE Q ISWHEN 0=> IF DIN = D(4) THEN Q <= 1 ; ELSE Q <= 0 ; END IF ;WHEN 1=> IF DIN = D(3) THEN Q <= 2 ; ELSE Q <= 0 ; END IF ;WHEN 2=> IF DIN = D(2) THEN Q <= 3 ; ELSE Q <= 2 ; END IF ;WHEN 3=> IF DIN = D(1) THEN Q <= 4 ; ELSE Q <= 0 ; END IF ;WHEN 4=> IF DIN = D(0) THEN Q <= 5 ; ELSE Q <= 2 ; END IF ;WHEN OTHERS => Q <= 0 ;END CASE ;END IF ;END PROCESS ;PROCESS( Q )BEGINIF Q = 5 THEN ss <= "1" ;ELSE ss <= "0" ;END IF ;END PROCESS ;END behav ;3)将其转换成可调用元件如图:3.序列信号检测器顶层文件1)调用序列信号发生器和序列信号检测器元件,建立工作库文件夹,输入设计项目原理图如下图:2)对总体进行波形仿真,如下图:4.管脚邦定六、实验心得只能copy到这,后面的自己写吧!DSFKLSD;GKJLSJDFG;LKSD;LG。
一种利用EDA技术快速验证序列信号发生器设计的教学探索
一种利用EDA技术快速验证序列信号发生器设计的教学探索田逸【期刊名称】《价值工程》【年(卷),期】2011(30)29【摘要】本文针对《数字电子技术》课程中序列信号发生器的设计这一教学难点问题,提出了利用EDA电子工作平台Multisim进行快速验证的教学方法,首先从理论上介绍了序列信号发生器的两种设计方案,即反馈移位型和计数型,进而以11010序列信号发生器为例详细描述了实现两种设计方案的各个步骤,在完成理论设计后即用Multisim构造电路图进行仿真验证,教学实践表明,仿真波形直观有效,此教法效果很好.该教法方便、简单、具有很强的实用性,是数字电子技术课程教学方法的一种积极探索.%Aiming at the difficult teaching spot of sequence signal generator design in the course of Digital Electronics Technology, this paper proposes a new teaching method by using EDA electronic work platform Multisim to quickly verify the correctness of design scheme. First, the two design schemes for sequence signal generator, feedback shifting bit type and counting type, have been introduced theoretically. Second, each step to realize the sequence signal 11010 as a typical case by the above methods has been described particularly. Finally, the simulative electronic circuits are constructed at Multisim platform to verify its correctness after theoretical design has been finished. The teaching practice has shown that the waveforms of simulation can give visual display effectively and the proposed method can get very good results.Moreover, the method also possesses the characteristics of convenience, simplicity and high practicability. It can be concluded that the proposed method is an active teaching exploration on the course of digit electronics technology.【总页数】3页(P260-262)【作者】田逸【作者单位】苏州经贸职业技术学院,苏州215009【正文语种】中文【中图分类】G42【相关文献】1.基于EDA技术的函数信号发生器设计 [J], 陈祖武2.一种利用EDA技术快速理解RC桥式正弦波振荡电路的教学方法 [J], 田逸3.基于EDA技术的正弦信号发生器设计 [J], 高锐4.序列信号发生器自启动特性验证的软硬件设计 [J], 张承畅;龚昱文;罗元;何丰5.基于EDA技术的数字式信号发生器的设计 [J], 王武乔因版权原因,仅展示原文概要,查看原文内容请购买。
数电实验:序列信号发生器
实验八序列信号发生器一、实验目的(1)熟悉掌握EDA软件工具Multisim的仿真测试应用。
(2)熟悉序列信号发生器的工作原理。
(3)熟悉序列信号发生器的设计方法二、实验仪器设备与主要器件试验箱;双踪示波器;稳压电源;函数发生器;74LS160;74LS161;74LS251;74LS152和74LS151。
三、实验原理在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号。
通常把这种串行数字信号叫做序列信号,产生序列信号的电路称为序列发生器。
1、技术性序列信号发生器设计过程分为如下两步:(1)根据序列码的长度P设计模P计数器,状态可以任意。
(2)按计数器的状态转换关系和序列码的要求设计组合输出电路。
由于计数器的状态设置和输出序列没有直接关系,因此这种结构对输出序列的更改比较方便,而且还能够同时产生多组序列码。
2、移位型序列信号发生器移位型序列信号发生器是由移位寄存器和组合反馈电路组成。
组合电路的输出,作为移位寄存器的串行输入。
由n位移位寄存器构成的序列信号发生器所产生的序列信号的最大长度为:P=2n。
四、实验内容(1)用计数器74LS160设计一个7位巴克码(0100111)的产生电路,画出电路时序图。
用示波器观察电路输出波形。
仿真图:输出波形:(2)设计灯光控制逻辑电路。
要求红绿蓝三种颜色的灯在时钟信号作用下按如表规定的顺序转换状态。
表中,1表示亮,0表示灭。
CP顺序红绿黄0 0 0 01 1 0 02 0 1 03 0 0 14 1 1 15 0 0 16 0 1 07 1 0 08 0 0 0仿真图:(3)用移位寄存器74LS194设计产生移位序列信号为10110的序列信号发生器。
用发光管显示输出序列信号。
画出时序电路图并用示波器观察时序波形。
仿真图:波形图:。
基于EDA技术的多功能信号发生器的设计
基于EDA技术的多功能信号发生器的设计摘要在现代电子技术的研究及应用领域中,常常需要高精度且频率可调的信号源。
随着通信技术的发展,频道的分布日趋密集,高精度、高稳定度的通信频率就显得更加重要。
本课题的研究EDA频率可调数字信号发生器的设计方案和具体实现。
按照EDA开发流程,采用VHDL语言对FPGA进行编程来实现DDS功能。
详细介绍了信号发生器得发展,数字波形的基本原理和波形发生器的设计过程。
用VHDL语言编写了波形发生器的代码,进行了时序仿真,各模块都在逻辑综合工具QuartusⅡ下进行了综合,完全符合设计要求,并编程下载到EPF10KLC84-4,经D/A转换器将波形数据转换成模拟数据,再通过低通滤波器输出。
并且可以通过控制实验箱上的四个按键,能在示波器上观察到频率可调的正弦波、三角波、方波和锯齿波,频率范围是10HZ~250KHZ。
关键词:EDA;FPGA;D/A转换器;信号发生器;频率可调IIA Study of Multi-Functional Signal GeneratorBased on EDAIIIIAbstractIn the study and applied field of modern electric technology, highprecise and changeable signal source is needed. With communication technology developing and the distribution of channel tending dense, high precise and high stable communication frequency appears to be more and more important.This subject is to study design scheme and implementation of EDA changeable signal digital signal generator. According to EDA developing process, FPGA is programmed in VHDL language to achieve DDS function. This paper in detail introduces the development of signal generator, the basic principle of digital waveform and the design process of waveform generator. The code of waveform generator programmed in VHDL language will be simulated by time sequence. If each module synthesized by logically combined tool-QuartusⅡcorresponds completely to the design demand, they will be programmed and loaded down to EPF10KLC84-4. Waveform data will be shifted to analog data through D/A converter, then will be outputthrough low pass filter. Meantime, by controlling the four keys on the IIIIIIexperiment case, changeable signal sinusoid wave, triangular wave, square wave and sawtooth wave can be seen on the oscillogragh, whose frequency scope is 10HZ~250HZ.Keywords:EDA;FPGA;D/A converter;signal generator;changeable signalIVIV目录摘要 (I)Abstract .................................................................................................................. I I第1章引言 (7)1.1 概述(小三号、黑体,段前6磅、段后6磅) (7)1.2 信号发生器的国内外发展状况 (7)1.3 信号发生器的分类 (7)1.4 各类信号发生器特点 (8)1.4.1 传统信号发生器的设计原理 (8)1.4.2 数模转换型信号发生器 (8)1.5 本文的主要研究内容 (9)第2章 EDA简介与FPGA基础 (10)2.1 EDA简介 (10)2.1.1 EDA技术 (10)2.1.2 从传统的电子电路设计方法到EDA技术 (10)2.2 FPGA可编程逻辑器件原理与结构 (12)2.3 FPGA开发过程 (13)2.4 硬件描述语言VHDL (17)2.4.1 概述 (17)2.4.2 VHDL语言的特点 (17)2.4.3 VHDL的基本结构 (18)第3章多功能信号发生器的整体方案 (20)3.1概述 (20)3.2数字信号发生器的方案综述 (20)3.1.1 直接数字法 (20)3.1.2 基于相位累加器的直接数字合成法的工作原理 (21)3.2 数字波形生成的基础知识 (21)3.2.1存储器与波形数据 (21)3.2.1 波形发生器的系统组成 (22)3.2.2 多功能信号发生器的总体系统方框图 (23)第4章QuartusⅡ的基本使用 (24)4.1概述 (24)VV4.2 QuartusⅡ在Windows XP上的安装设置 (24)第5章频率可调的多功能信号发生器 (26)5.1各种波形产生模块 (26)5.1.1正弦波产生的原理 (26)5.1.2波形数据产生器实现程序 (27)5.2数据选择器模块 (29)4.1 5.3 D/A转换模块 (29)5.4仿真波形 (30)结论 (33)致谢 (34)附录 (36)VIVI第1章引言1.1概述(小三号、黑体,段前6磅、段后6磅)信号发生器是一种常用的信号源,广泛应用于电子电路、自动控制和科学试验等领域。
(Proteus数电仿真)序列信号发生器电路设计
(Proteus数电仿真)序列信号发生器电路设计实验8 序列信号发生器电路设计一、实验目的:1.熟悉序列信号发生器的工作原理。
2.学会序列信号发生器的设计方法。
3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。
二、实验仪器设备:仿真计算机及软件Proteus 。
74LS161、74LS194、74LS151三、实验原理:1、反馈移位型序列信号发生器反馈移位型序列信号发生器的结构框图如右图所示,它由移位寄存器和组合反馈网络组成,从寄存器的某一输出端可以得到周期性的序列码。
设计按一下步骤进行:(1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。
CP将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。
若M 个状态中出现重复现象,则应增加移位寄存器的位数。
用n+1位再重复上述过程,直到划分为M 个独立状态为止。
(2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F的表达式。
(3)检查自启动性能。
(4)画逻辑图。
2、计数型序列信号发生器计数型序列信号发生器和组合的结构框图如图 所示。
它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。
设计 过程分为以下两步: CP(1)根据序列码的长度M 设计模M 计数器,状态可以自己定。
(2)按计数器的状态转移关系和序列码的要求组合输出网络。
由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。
四、计算机仿真实验内容及步骤、结果:1、设计一个产生100111序列的反馈移位型序列信号发生器。
1、根据电路图在protuse 中搭建电路图 组合反Q1 Q2Qn组合输Q1 Q2 Qn⑴选中protuse最左侧的compenent mode工具栏⑵选择电路所需的元器件摆放到原理图的画布上,virtual instrument mode中选择示波器摆放到画布上观察电路输出波形,然后连接线路搭建电路,如图1:仿真电路图如图1所示图1⑶打开仿真开关,观察示波器的波形,如图2:实验结果如图2所示图3 实验结果如图4所示看出实验结果为脉冲输出结果为1101000101。
EDA信号发生器程序说明书
目录1 引言 (1)2 题目分析 (2)2.1设计目的 (2)2.2设计要求 (2)3 硬件电路设计 (3)3.1原理图的实现 (3)3.2 各种波形的实现 (4)3.2.1正弦波的代码 (4)3.2.2 正弦波仿真结果 (5)3.2.3三角波的代码 (5)3.2.4 三角波仿真结果 (7)3.2.5 方波波的代码 (7)3.2.6 方波仿真结果 (8)3.2.7 锯齿波的代码 (8)3.2.8 锯齿波仿真结果 (9)3.3各个控制单元的实现 (10)3.3.1频率控制单元 (10)3.3.2波形输出控制单元 (11)3.4 整体仿真部分 (12)4 硬件测试 (13)5 设计结论 (16)6 课题总结 (17)参考文献 (18)附录 (19)1 引言EDA技术作为现代电子设计的核心,它依赖于功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成设计文件,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线),以及逻辑优化和仿真测试,直到完成既定的电子线路系统功能。
随着基于FPGA的EDA技术的发展和应用领域不断的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。
随着技术市场与人才市场对EDA技术的需求不断提高,产品的市场效率和技术要求也将会影响到教学与科研。
本设计是一个基于VHDL的采用自顶向下设计方法实现的多功能函数信号发生器,该设计方法具有外围电路简单,程序修改灵活和调试容易等特点,并通过计算机仿真和实验证明了设计的正确性。
2 题目分析2.1设计目的设计一个信号发生器,用于产生正弦波、方波、三角波、锯齿波信号。
2.2 设计要求本设计是采用VHDL来实现的简易多功能信号发生器。
它能产生正弦波,三角波,方波和锯齿波。
且对各种波形的要求如下:(1)根据按键选择不同的波形(实现正弦波,三角波,方波和锯齿波);(2)各波形的频率范围为100Hz-20KHz;(3)各波形频率可调(通过按键控制频率的变化,步进值为500Hz);(4)用LED数码管实时显示输出波形的频率值;(5)用按键控制实现输出信号的幅度调节(幅度调节为2.5V和5V)。
序列信号发生器和序列信号检测器
南昌大学实验报告学生姓名:学号:专业班级:实验类型:□验证□综合□设计□创新实验日期:实验成绩:实验三序列信号检测器设计(一)实验目的1.进一步熟悉PH-1V型实验装置和QuartusⅡ软件的使用方法;2.学习有限状态机法进行数字系统设计;3.学习使用原理图输入法进行设计。
(二)设计要求完成设计、仿真、调试、下载、硬件测试等环节,在PH-1V型EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下:1.先用原理图输入法设计0111010011011010序列信号发生器;2.其最后8BIT数据用LED显示出来;3.再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”,否则输出为“0”;(三)主要仪器设备1.微机 1台2.QuartusII集成开发软件1套3.PH-1V型EDA实验装置1套(四)实验总体设计本实验要求先设计一个信号发生器,采用原理图设计方法,要求产生0111010011011010序列,16位,便可采用74161计数器和74151选择器,161计数输出QD,QC,QB,QA从0000计至1111,然后将161计数输出低三位QC,QB,QA分别接到151的C,B,A端,高位QD用来控制151两片的片选,即两片151分别实现序列的高八位和低八位的输出。
最后将二片151的输出相或便可得到最后要产生的序列。
序列检测器即为一个状态机,首先画出状态转移图,根据状态转移图设计出序列检测器,当检测到预置的序列,则RESULT输出1,否则输出0 (五)实验重难点设计1. 用原理输入法设计序列信号发生器(1)打开Quartus II软件,进入编辑环境。
(2)创建新的原理图BDF文件,命名为FASHENGQI,根据其总体设计思路设计出如下原理图:1. 用文本输入法设计序列信号检测(1)打开Quartus II软件,进入编辑环境。
(2)创建新的文本文件VHDL,命名为ztj,根据状态机总体设计思路设计出如(3)下语句程序:library ieee;use ieee.std_logic_1164.all;entity ztj isport (clk,reset: in std_logic;x: in std_logic;result: out std_logic);end ztj;architecture behav of ztj istype m_state is(s0,s1,s2,s3,s4,s5);signal present_state,next_state:m_state;signal temp:std_logic;beginprocess(reset,clk)beginif reset ='1' thenpresent_state<=s0;elsif clk='1' and clk'event thenresult<=temp;present_state<=next_state;end if;end process;(4)经编译成功后,点击File---Creat/Update---Creat Simbol Files For Current File 后生成STAKE模块如下:(1)打开Quartus II软件,进入编辑环境。
序列发生器和序列检测器——选作实验EDA
UESTCEDA技术及应用实验选作实验——序列发生和序列检测器的设计姓名:孙纪川学号:20110791200222013选作实验序列发生器和检测器的设计一、预习内容1)预习序列发生器和检测器的基本工作原理。
2)画出实验原理草图。
3)写出实验的基本步骤和源程序。
二、实验目的1)掌握序列发生器和检测器的工作原理。
2)学会用状态机进行数字系统设计。
三、实验器材PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干。
四、实验要求1)连续完成一个“10001110”序列和九个非“10001110”序列的发生;2)检测“10001110”序列,当检测到该序列后点亮EDA实验箱的LED灯;3)注意为了点亮LED灯,时钟频率不要选择太高,可以选择几十赫兹的频率。
五、实验报告及仿真结果1)序列发生器和序列检测器的VHDL源程序状态机的状态图:用摩尔型状态机设计的序列检测器的源程序:我修改了报告中的状态图,因为在st8到st0的过程中也需判断输入序列发生器的源程序:用图形输入法表示的序列发生并检测电路的顶层实体:名称关联法在block图中连线2)六、实验结果分析通过实验箱上的实际显示可以看到,序列检测器成功检测到序列发生器的序列点亮LED指示灯。
序列发生器和序列检测器设计成功。
七、心得体会通过此次实验使我了解了状态机的设计过程及用VHDL语言编写状态机,我还学到了摩尔型状态机与米粒型状态机的不同(延迟输出),以及可以用数组左移位的方法并行检测序列。
此次实验的成功之处在于程序编写正确,状态机逻辑明确,程序在实验箱上运行正确。
不足之处在于虽然我编写了米粒型状态机(运行结果也正确但是我没有截图)但是在quartus2软件上仿真并没有体会到延迟输出的现象,下次实验还需加深对米粒型状态机的理解重新改写米粒型状态机。
八、问题及思考问题:如果改变待检测的二进制码(如1011001),状态转换图应如何变化。
答:。
基于EDA Verilog信号发生器
基于EDA Verilog信号发生器介绍信号发生器是测试和调试电路的一种重要工具。
它可以产生各种类型和频率的电信号,并且能够精确控制信号的参数,如振幅、频率和相位。
在电子设计自动化(EDA)领域中,使用Verilog语言编写的信号发生器可以方便地生成所需的信号并集成到电路设计中。
本文将介绍基于EDA Verilog的信号发生器的原理和实现方法,并提供相应的代码示例。
通过这个例子,读者可以了解到如何使用Verilog语言实现一个简单的信号发生器,并将其应用于各种电路设计和测试场景中。
原理信号发生器的原理非常简单。
它通过产生周期性的信号波形,如正弦波、方波、矩形波等,来模拟不同类型的电信号。
Verilog语言提供了一系列的内置函数和模块,可以方便地生成这些信号。
这些内置函数和模块可以集成到电路设计中,并通过控制参数来调整所生成信号的类型和参数。
信号发生器的设计通常包括以下几个基本步骤:1.定义信号类型:确定需要生成的信号类型,如正弦波、方波等。
2.设置信号参数:根据需求设置信号的参数,如频率、振幅、相位等。
3.生成信号波形:使用Verilog提供的内置函数和模块生成相应类型的信号波形。
4.集成到电路设计中:将信号发生器集成到电路设计中,并根据需要调整参数和连接信号源。
代码示例以下是一个简单的基于EDA Verilog的信号发生器的代码示例,生成一个正弦波信号:module signal_generator(input wire clk,input wire reset,output reg signed [7:0] wave);reg signed [15:0] phase;reg signed [15:0] freq_counter;reg signed [15:0] amplitude;reg signed [15:0] amplitude_counter;parameter signed [15:0] frequency = 1000;parameter signed [15:0] amplitude_max = 255;always @(posedge clk or posedge reset) beginif (reset) beginphase <= 0;freq_counter <= 0;amplitude <= amplitude_max;amplitude_counter <= 0;end else beginfreq_counter <= freq_counter + frequency;amplitude_counter <= amplitude_counter + 1;if (amplitude_counter >= amplitude) beginamplitude_counter <= 0;phase <= phase + 1;endendendalways @(posedge clk) beginwave <= $sin(phase);endendmodule代码解释上述代码实现了一个简单的信号发生器,通过给定的频率和振幅参数生成一个正弦波信号。
EDA课程设计-信号发生器与数字钟设计
实用文档EDA综合设计报告题目:信号发生器与数字钟设计学院:电气信息学院专业:通信工程姓名:学号:指导老师:汪敏第一部分:信号发生器一、设计任务要求设计一个多功能信号发生器,根据输入信号的选择可以输出递增锯齿波,递减锯齿波,三角波,阶梯波,方波和正弦波六种信号,并能实现频率选择和幅度调节,信号发生器的控制模块可以用数据选择器实现,六种信号的选择可以用6选1数据选择器实现。
二、方案设计(一)设计思路1.利用VHDL语言,设计分频器,实现2分频,4分频,8分频及16分频,实现频率选择功能,生成模块。
与利用数据选择器原理设计的频率选择器模块相连,实现频率选择输出。
2.利用VHDL语言,分别实现六种信号(递增/递减锯齿波,三角波,阶梯波,方波和正弦波)的输出,生成模块。
3.将设计的各功能模块按要求连接,分配引脚接口,连接实验箱,进行硬件测试,验证实现功能。
(二)程序流程(三)设计软件Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。
对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。
此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。
Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性。
三、硬件设计(一)分频器分频器的功能是:利用对时钟上升沿计数,从而实现可以输出二分频,四分频,八分频及十六分频模块,分频器模块是为了实现信号发生器的频率选择功能。
EDA课程设计_DDS信号发生器
电子课程设计------DDS信号发生器合成器学院:专业班级::学号:指导老师:2012年12月直接数字频率合成器(DDS)一、设计任务与要求直接数字频率综合技术,即DDS技术,是一种新型的频率合成技术和信号产生方法。
利用EDA技术和FPGA实现直接数字频率合成器DDS的设计。
设计要求:1、利用QuartusII软件实验箱实现DDS的设计;2、通过实验箱上的开关输入DDS的频率和相位控制字,并能用示波器观察加以验证;3、系统具有清零和使能的功能;4、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的ROM实现。
二、总体框图图1 DDS总体框图1、模块的功能(1)频率预置和调节电路不变量K称作相位增量,也叫频率控制字。
此模块实现频率控制量的输入。
(2)相位累加器相位累加器是一个带有累加功能的N位加法器,它以设定的N位频率控制字K作为步长进行线性累加,当其和满时,计数器清零,并进行重新运算,它使输出频率正比于时钟频率和相位增量之积。
(3)相位寄存器相位寄存器是一个N位的寄存器,它对输入端输入的数据进行寄存,当下一个时钟到来时,输出寄存的数据。
图2 相位累加器相位累加器的组成=N位加法器+N位寄存器相位累加器的作用:在时钟的作用下,进行相位累加注意:当相位累加器累加满量时就会产生一次溢出,完成一个周期的动作。
(4)正弦查找表正弦查找表ROM是DDS最关键的部分,也是最复杂的部分,设计时首先需对正弦函数进行离散采样,接着将采样的结果放到ROM模块的对应存储单元中,每一位地址对应一个数值,输出为8位。
ROM中必须包含完整的正弦采样值,此设计采样256点,而且还要注意避免在按地址读取ROM容时可能引起的不连续点,避免量化噪音集中于基频的谐波上。
作用:进行波形的相位----幅值转换原理:ROM的N位地址把0° 360°的正弦角度离散成具有2N个样值的序列ROM的D位数据位则2N个样值的幅值量化为D位二进制数据(5) D/A转换器D/A转换器的作用:把已经合成的正弦波的数字量转换成模拟量。
EDA课程设计-简易信号发生器[23页].doc
EDA课程设计简易信号发生器简易信号发生器1.课程设计要求1. 完成实验板上DAC的匹配电阻选择、焊接与调试,确保其可以正常工作。
2. 根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。
频率字可以由串口设定,也可以由按键控制,数码管上显示频率值。
3. 可以控制改变输出波形类型,在正弦、三角波、锯齿波、方波之间切换。
4. 输出波形幅度可调,最小幅度步进100mV。
2.直接数字频率合成(DDS)原理直接数字频率合成技术是根据相位间隔对正弦信号进行取样、量化、编码,然后储存在EPROM中构成一个正弦查询表。
频率合成时,相位累加器在参考时钟的作用下对时钟脉冲进行计数,同时将累加器输出的累加相位与频率控制字K 预置的相位增量相加,以相加后的吉果形成正弦查询表的地址;取出表中与该相位对应的单元中的幅度量化正弦函数值,经D/A转换器输出模拟信号,再经低通滤波器平滑得到符合要求的模拟信号。
相位累加器的最大计数长度与正弦查询表中所存储的相位分隔点数相同,由于相位累加器的相位增量不同,将导致一周期内的取样点数不同,在取样频率(由参考时钟频率决定)不变的情况下,输出信号的频率也相应变化。
fin=M(fclk/2^n)3. 系统设计 3.1 总体设计方案信号发生器从总体上可以分为系统控制模块,波形产生模块和D/A 转换模块。
系统控制模块负责处理按键的读入,按键处理,将频率和幅度在数码管上显示,调节频率和幅度以及波形的选择。
波形发生模块负责按照控制模块输入的信号,输出相应的波形(正弦波,方波锯,齿波,三角波),以及输出相应幅度和频率的信号。
D/A 转换模块负责将输入的数字信号转换为模拟信号。
系统控制模块数码管显示(幅度频率)按键读入LED 指示灯频率调节 波形选择幅度调节波形产生模块D/A 转换显示输出3.2 系统控制模块1、按键控制模块和数码显示模块键值读取和按键消抖模块when 1 => if counter>="1111111111111110" thenstate:=2;counter:="00000";else counter:=counter+1;state:=1;end if;when 2 => if abcd(0)='0' or abcd(1)='0' or abcd(2)='0'or abcd(3)='0' thencase abcd iswhen "0111"=>key_value_1<="00";state:=3;when "1011"=>key_value_1<="01";state:=3;when "1101"=>key_value_1<="10";state:=3;when "1110"=>key_value_1<="11";state:=3;when others=>state:=0;end case;else state:=0;end if;when 3 => if (abcd(0)='0' or abcd(1)='0' or abcd(2)='0'or abcd(3)='0')thenif counter>="00011"thencounter:="00000";out_clk<='1';else counter:=counter+1;end if;state:=3;elsestate:=4;out_clk<='1';end if;when 4 => if counter>="00111" thenstate:=0;c ounter:="00000";out_clk<='0';else counter:=counter+1;state:=4;end if;end case;end if;e nd process;2)、按键处理和显示模块设计思路外接的四个按键的分配:A:数码管频率显示和幅度显示切换;B:波形之间的切换(用四个LED对应显示);C:选择要调节的数码管(用四个LED对应显示);D:对选择的数码管进行调节(0~9循环显示);数码管显示:从左往右依次是频率(单位Hz)或幅度(mV)值的千、百、十、个位。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
4.序列信号发生器
4.序列信号发生器 设计一个顺序脉冲发生器, [*2.4.2] 设计一个顺序脉冲发生器,该发生器在时 钟作用下,顺序产生 个节拍信号 要求: 个节拍信号。 钟作用下,顺序产生6个节拍信号。要求: 1)画出该电路的表示符号及其组成框图。 画出该电路的表示符号及其组成框图。 2)编写描述该电路VHDL程序。 编写描述该电路VHDL程序。 VHDL程序
4.序列信号发生器
4.7.2 时序逻辑电路的设计
功能:为数字信号传输和数字系统测试提供1 * 功能:为数字信号传输和数字系统测试提供1组或 多组特定的串行序列信号( 多组特定的串行序列信号(码)。 组成:一般由计数器 组合电路(函数发生器) 计数器和 * 组成:一般由计数器和组合电路(函数发生器) 组成。 组成。 [*2.4.1]分析P.151所示的VHDL源程序 分析P.151所示的VHDL源程序。 [*2.4.1]分析P.151所示的VHDL源程序。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY senqgen IS PORT (RD,CP: IN STD_LOGIC; Y: OUT STD_LOGIC); END senqgen;
P.151所示的VHDL源程序-结构体( P.151所示的VHDL源程序-结构体(续) 所示的VHDL源程序
4.序列信号发生器
输 出 函 数 进 程
FUNC: PROCESS(Q) BEGIN CASE Q IS WHEN "000" => Y<= '0'; WHEN "001" => Y<= '1'; WHEN "010" => Y<= '1'; WHEN "011" => Y<= '1'; WHEN "100" => Y<= '1'; WHEN "101" => Y<= '1'; WHEN "110" => Y<= '1'; WHEN OTHERS => Y<= '0'; END CASE; END PROCESS; END behave21;
6节拍顺序脉冲发生器 FUNC: PROCESS (Q ) BEGIN CASE Q IS WHEN "000" => Y<= “111110"; WHEN "001" => Y<= “111101"; WHEN "010" => Y<= “111011"; WHEN "011" => Y<= “110111"; WHEN "100" => Y<= "101111"; WHEN "101" => Y<= “011111"; WHEN OTHERS => Y<= “111111"; END CASE; END PROCESS; END sig8;
6节拍顺序脉冲发生器 ENTITY beat6_gen IS PORT (CP:IN STD_LOGIC; Y: OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END beat6_gen; ARCHITECTURE pul6 OF beat6_gen IS SIGNAL Q:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN CNT: PROCESS(CP) BEGIN IF CP'EVENT AND CP='1' THEN IF Q="101" THEN Q<="000"; ELSE Q<=Q+1;END IF; END IF; END PROCESS;
P.151所示的VHDL源程序P.151所示的VHDL源程序-结构体 所示的VHDL源程序
4.序列信号发生器
ARCHITECTURE behave21 OF senqgen IS SIGNAL Q:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN CNT: ROCESS(RD,CP) 寄 存 器 进 程 BEGIN IF RD ='0' THEN Q<="000"; ELSIF CP'EVENT AND CP='1' THEN Q<=Q+1; END IF; END PROCESS;