ADC0809接口电路
计算机接口与微机原理-第9周-模拟数字转换器ADC0809
模拟数字转换器ADC0809n模/数转换器n模/数转换器的性能参数n模/数转换原理n ADC 0809的内部结构图n ADC 0809的工作方式n ADC 0809芯片的接口电路中山大学信息科学与技术学院陈任数/模和模/数转换v当用计算机来构成数据采集或过程控制等系统时,所要采集的外部信号或被控制对象的参数,往往是温度、压力、流量、声音和位移等连续变化的模拟量。
v计算机只能处理不连续的数字量,即离散的有限值。
v因此,必须用模数转换器即A/D转换器,将模拟信号变成数字量后,才能送入计算机进行处理。
v计算机处理后的结果,也要经过数模转换器即D/A转换器,转换成模拟量后,在示波器上显示结果波形和在记录仪上描记下来,或驱动执行部件,达到控制的目的。
模/数转换器v我们时常需要把模拟信号转化为数字信号, 以便进行数据的存储,处理和传输,如:把电压,电流,光,声音等模拟信号转化为数字信号。
v模/数转换器可以把模拟信号转换为数字信号,也称为ADC(Analog-to-Digital Converter)。
模数转换器ADC模拟信号数字信号模拟信号8位模数转换器ADC8位二进制数X 参考电压: V refv输入模拟信号(如,电压值0~+5V) ,启动模数转换,产生8位二进制数输出。
start模/数转换器原理v实现A/D转换的基本方法有十几种,常用的有计数法,逐次逼近法,双斜积分法和并行转换法。
v逐次逼近式A/D转换具有速度快,分辨率高等优点,且采用这种方法的ADC芯片成本较低,因此在计算机数据采集系统中获得了广泛的应用。
v逐次逼近式A/D转换器的转换原理是建立在逐次逼近的基础上,把输入电压V i和一组从参考电压分层得到的量化电压进行比较,比较从最大的量化电压开始,由粗到细逐次进行,由每次比较的结果来确定相应的位是1还是0。
不断比较和逼近到两者差别小于某一误差范围时即完成了一次转换。
逐次逼近型ADC逐次逼近型ADC 由逐次逼近寄存器SAR ,D/A 转换器,比较器A 和缓冲器等组成。
ADC0809与AT89C51的一种接口方法
ADC0809与AT89C51的一种接口方法一、本文概述本文将详细介绍ADC0809与AT89C51之间的一种接口方法。
ADC0809是一种常用的8位模数转换器,广泛应用于数据采集和处理系统中。
AT89C51则是一款经典的8位微控制器,以其稳定的性能和广泛的应用场景而受到工程师的青睐。
通过合理的接口设计,可以实现ADC0809与AT89C51之间的有效通信,从而实现对模拟信号的精确采集和控制。
本文将详细阐述接口电路的设计原理、连接方式、信号传输过程以及可能遇到的问题和解决方案,旨在为工程师提供一套实用的参考方案,促进ADC0809与AT89C51在各类应用中的高效集成。
二、ADC0809与AT89C51简介ADC0809是一种8位逐次逼近型模拟数字转换器(ADC)。
它可以将连续的模拟信号转换为离散的数字信号,以便于数字系统进行处理。
ADC0809具有8路模拟输入通道,可以独立地选择其中的一路进行模数转换。
转换结束后,转换结果会通过三态输出锁存器输出到数据总线上。
ADC0809还具有转换启动、转换结束以及清零等控制功能,可以通过相应的控制引脚实现。
由于其转换速度快、精度高等特点,ADC0809在嵌入式系统、工业自动化等领域有着广泛的应用。
AT89C51是Atmel公司生产的一种基于8051内核的低功耗、高性能CMOS 8位微控制器。
它采用Atmel公司的高密度、非易失性存储技术生产,与工业标准的80C51指令集和引脚兼容。
AT89C51具有4K字节的可在系统编程(ISP)Flash存储器,这意味着用户可以在不将芯片从系统中取出的情况下,对其进行重新编程。
AT89C51还集成了多种功能强大的外设,如两个16位定时/计数器、一个5向量两级中断结构、一个全双工串行通信口、一个片内振荡器和时钟电路等。
由于其强大的功能和灵活的编程能力,AT89C51在嵌入式系统、智能仪表、工业控制等领域得到了广泛的应用。
将ADC0809与AT89C51进行接口设计,可以实现模拟信号的数字化处理和控制功能。
第五章 5.7节 模拟电路接口技术ADC0809
2、主要性能指标 (1)、分辨率
分辨率反映A/D 转换器对输入微小变化响应的能力,通常用数字输
出最低位(LSB)所对应的模拟输入的电平值表示。n 位A/D 能反应 1/2^n 满量程的模拟输入电平。
由于分辨率直接与转换器的位数有关,所以一般也可简单地用数字
量的位数来表示分辨率,即n 位二进制数,最低位所具有的权值,就 是它的分辨率。
值得注意的是,分辨率与精度是两个不同的概念,不要把两者相混
淆。即使分辨率很高,也可能由于温度漂移、线性度等原因,而使其 精度不够高。
例如,ADC输出为八位二进制数, 输入信号最大值为 5V,其分辨率为: U m 19 .61mV 8
2 1
(2)、转换时间
转换时间是指完成一次A/D 转换所需的时间,即由发出启动转换
/**********(C) ADC0809.C**************/ #include <reg51.h> #include "1602.h" #define uchar unsigned char #define uint unsigned int sbit ADC_START=P2^0; //四个控制引脚的定义 sbit ADC_ALE =P2^1; sbit ADC_EOC =P2^2; sbit ADC_OE =P2^3; sbit D0=P0^0; //八盏灯的定义 sbit D1=P0^1; sbit D2=P0^2; sbit D3=P0^3; sbit D4=P0^4; sbit D5=P0^5; sbit D6=P0^6; sbit D7=P0^7;
AD转换速度: 500K频率:130us 640K频率:100us 分辨率:8位
4、ADC0809接口电路
ADC0809与单片机的接口电路
ADC0809是一种CMOS单片型逐次比较式8路模拟输入、8位数字量输出的A/D转换器。
在多点巡回检测和过程控制、运动控制中应用十分广泛。
1.主要特性如下:1)8路8位A/D转换器,即分辨率8位。
2)具有转换起停控制端。
3)转换时间为100μs4)单个+5V电源供电5)模拟输入电压范围0~+5V,不需零点和满刻度校准。
6)工作温度范围为-40~+85摄氏度7)低功耗,约15mW。
2.外部特性(引脚功能)ADC0809芯片有28条引脚,采用双列直插式封装。
下面说明各引脚功能IN0~IN7:8路模拟量输入端。
2-1~2-8:8位数字量输出端。
ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路。
ALE:地址锁存允许信号,输入,高电平有效。
START:A/D转换启动信号,输入,高电平有效。
EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。
OE:数据输出允许信号,输入,高电平有效。
当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。
CLK:时钟脉冲输入端。
要求时钟频率不高于640KHZ。
REF(+)、REF(-):基准电压。
VCC:电源,单一+5V。
GND:地。
ADC0809的工作过程是:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。
此地址经译码选通8路模拟输入之一到比较器。
START上升沿将逐次逼近寄存器复位。
下降沿启动A/D转换,之后EOC输出信号变低,指示转换正在进行。
直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。
当OE输入高电平时,输出三态门打开,转换结果的数字量输出到数据总线上。
单片机与ADC0809的接口电路图。
ADC0809引脚图与接口电路
ADC0809引脚图与接口电路A/D转换器芯片ADC0809简介 8路模拟信号的分时采集,片内有8路模拟选通开关,以及相应的通道抵制锁存用译码电路,其转换时间为100μs左右。
图9.8 《ADC0809引脚图》1. ADC0809的内部结构ADC0809的内部逻辑结构图如图9-7所示。
图9.7 《ADC0809内部逻辑结构》图中多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用一个A/D转换器进行转换,这是一种经济的多路数据采集方法。
地址锁存与译码电路完成对A、B、C 3个地址位进行锁存和译码,其译码输出用于通道选择,其转换结果通过三态输出锁存器存放、输出,因此可以直接与系统数据总线相连,表9-1为通道选择表。
表9-1 通道选择表2.信号引脚ADC0809芯片为28引脚为双列直插式封装,其引脚排列见图9.8。
对ADC0809主要信号引脚的功能说明如下:IN7~IN0——模拟量输入通道ALE——地址锁存允许信号。
对应ALE上跳沿,A、B、C地址状态送入地址锁存器中。
START——转换启动信号。
START上升沿时,复位ADC0809;START下降沿时启动芯片,开始进行A/D转换;在A/D转换期间,START应保持低电平。
本信号有时简写为ST.A、B、C——地址线。
通道端口选择线,A为低地址,C为高地址,引脚图中为ADDA,ADDB和ADDC。
其地址状态与通道对应关系见表9-1。
CLK——时钟信号。
ADC0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。
通常使用频率为500KHz的时钟信号EOC——转换结束信号。
EOC=0,正在进行转换;EOC=1,转换结束。
使用中该状态信号即可作为查询的状态标志,又可作为中断请求信号使用。
D7~D0——数据输出线。
为三态缓冲输出形式,可以和单片机的数据线直接相连。
D0为最低位,D7为最高OE——输出允许信号。
用于控制三态输出锁存器向单片机输出转换得到的数据。
FPGA与ADC0809接口电路详解
FPGA与ADC0809接口电路详解注:(1)本程序基于FPGA和vhdl编写有详尽的程序解释和原理分析以及原理图,状态图(2)对于adc0809具体资料可上网查在此不累述一.FPGA与ADC0809的接口电路图原理二.关于ADC0809的说明(重点)(1)ale信号(引脚):高电平时把三个地址信号送入地址锁存器,并经译码器得到地址数据,以选择相应的模拟输入通道。
(2)oe信号(引脚)en使能信号:电平由低变高时,打开数据输出锁存器,将转换数据送到数据总线上(3)eoc信号(引脚):eoc为高电平时完成转换,为低电平时正在转换。
(4)start信号(引脚):要给start线送一个100ns宽的启动正脉冲,start下跳沿时,开始进行A/D转换,在转换期间start以保持低电平。
三.转换状态图对于状态图的真值表未列出 注意对应的注释为vhdl 语句ale<='1';start<='0';en<='0';----eoc='1' ale<='0';start<='0';en<='0';--再次检测数据是否转换完 if eoc='0' then next_state<=st4;else next_state<=st5;器,将数据送入数据总线存器四.ADC0809采样接口电路程序--*********ADC0809采样控制*************--******因为FPGA 的时钟频率为50MHz ,则256分频后,即ADC0809输入时钟为195KHz****** --******对ADC0809进行简单的采样控制,得到的数据进FPGA 送到8个并排的数码管显示***** library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity PL_AD isport ( d : in std_logic_vector(7 downto 0); --ADC0809输出的采样数据输入FPGA clk,eoc: in std_logic; --clk 为系统时钟,eoc 为ADC0809转换结束信号输入FPGA lock1,start, ale,en: out std_logic; --ADC0809控制信号FPGA 输出信号 abc_in :in std_logic_vector(2 downto 0); --模拟选通信号abc_out :std_logic_vector(2 downto 0);--ADC0809模拟信号选通信号q : out std_logic_vector(7 downto 0));送至8个并排数码管信号FPGA 输出数字信号 end pl_AD;architecture behav of PL_AD istype states is ( st0,st1, st2, st3, st4,st5,st6);--定义状态类型枚举类型signal current_state, next_state:states:=st0;--定义总体两个状态现态和次态并且初值为st0态signal regl :std_logic_vector(7 downto 0);--定义中间寄存器signal lock : std_logic;signal qq:std_logic_vector(7 downto 0);--定义计数器用于分频begincom:process(current_state,eoc) –此进程主要是驱动ADC0809工作即数据转换过程begincase current_state iswhen st0=>next_state<=st1;ale<='0';start<='0';en<='0';--准备when st1=>next_state<=st2;ale<='1';start<='0';en<='0';--三个地址信号送入地址锁存器when st2=>next_state<=st3;ale<='0';start<='1';en<='0';--开始数据转换when st3=> ale<='0';start<='0';en<='0';--检测数据是否转换完if eoc='1' then next_state<=st3;else next_state<=st4;end if;when st4=> ale<='0';start<='0';en<='0';--再次检测数据是否转换完if eoc='0' then next_state<=st4;else next_state<=st5;end if;when st5=>next_state<=st6;ale<='0';start<='0';en<='1'; --打开输出数据锁存器,将数据送入数据总线when st6=>next_state<=st0;ale<='0';start<='0';en<='1';regl<=d;--打开输出数据锁存器,将数据送入寄存器regl when others=> next_state<=st0;ale<='0';start<='0';en<='0';end case;end process;clock:process(clk) --对系统时钟进行分频,得到驱动ADC0809的时钟信号beginif clk'event and clk='1' then qq<=qq+1;if QQ="01111111" THEN lock<='1';--实现分频current_state <=next_state;--在lock上升沿,转换至下一状态elsif qq<="01111111" then lock<='0';end if;end if;end process;q<=regl;--寄存器数据输出即FPGA输出lock1<=lock;abc_out<=abc_in;--模拟选通信号送往ADC0809end behav;注:有错when st3=> ale<='0';start<='0';en<='0';--检测数据是否转换完if eoc='1' then next_state<=st3;else next_state<=st4;end if;when st4=> ale<='0';start<='0';en<='0';--再次检测数据是否转换完if eoc='0' then next_state<=st4;else next_state<=st5;end if;不过我这里的注释好像错了,这两个when合起来才是检测数据是否转换完的。
常用ADDA芯片的使用:并行ADC0809、串行ADC0832、串行PCF8591
⑶ 延时等待方式
工作在延时等待方式时,0809 EOC端可不必与80C51相连 端可不必与80C51相连, 工作在延时等待方式时,0809 EOC端可不必与80C51相连,是根 据时钟频率计算出A/D转换时间,略微延长后直接读A/D转换值。 A/D转换时间 A/D转换值 据时钟频率计算出A/D转换时间,略微延长后直接读A/D转换值。 14】 20中 EOC端开路 fosc=6MHz, 端开路, 【例9-14】 图9-20中,0809 EOC端开路,fosc=6MHz,试用延 时等待方式编制程序, 路模拟信号依次A/D转换一次, A/D转换一次 时等待方式编制程序,对8路模拟信号依次A/D转换一次,并把 结果存入以50H为首址的内RAM 50H为首址的内RAM中 结果存入以50H为首址的内RAM中。 编程如下: 解:编程如下:
ORG LJMP ORG LJMP ORG STAT: MOV MOV SETB SETB SETB MOV MOVX LJMP 0000H STAT 0013H PINT1 0100H R1,#30H R7,#8 IT1 EX1 EA DPTR,#0FEF8H @DPTR,A MAIN ;复位地址 ;转初始化程序 ;中断服务子程序入口地址 中断,转中断服务子程序; ;中断,转中断服务子程序; ;初始化程序首地址 ;置数据区首址 ;置通道数 ;置边沿触发方式 ;开中 ;CPU开中 ;CPU开中 0809通道 通道0 ;置0809通道0地址 启动0通道A/D ;启动0通道A/D 转主程序,并等待A/D A/D中断 ;转主程序,并等待A/D中断
⑵ 查询方式
工作在查询方式时,0809 EOC端可不必通过反相器与或相连 端可不必通过反相器与或相连, 工作在查询方式时,0809 EOC端可不必通过反相器与或相连, 直接与80C51 P1口或P3口中任一端线相连 口或P3口中任一端线相连。 直接与80C51 P1口或P3口中任一端线相连。 13】 20中 P1.0直接与 直接与0809 EOC端相连 端相连, 【例9-13】 图9-20中,用P1.0直接与0809 EOC端相连,试用查 询方式编制程序, 路模拟信号依次A/D转换一次, A/D转换一次 询方式编制程序,对8路模拟信号依次A/D转换一次,并把结果 存入以40H为首址的内RAM 40H为首址的内RAM中 存入以40H为首址的内RAM中。 解:
实验四 ADC0809的采样控制电路实现
实验四 ADC0809的采样控制电路实现一、实验目的1、学习和理解状态机的设计和工作原理。
2、学习用状态机对A/D转换器ADC0809的采样控制电路的实现。
二、实验仪器PC机,操作系统为Windows2000/XP,本课程所用系统均为WindowsXP(下同),Quartus II 5.1设计平台,GW48系列SOPC/EDA实验开发系统。
三、实验原理ADC0809是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。
ADC0809的分辨率为8位,转换时间约100us,含锁存控制的8路多路开关,输出有三态缓冲器控制,单5V电源供电。
主要控制信号说明:如图4-1所示,START是转换启动信号,高电平有效;ALE是3位通道选择地址(ADDC、ADDB、ADDA)信号的锁存信号。
当模拟量送至某一输入端(如IN1或IN2等),由3位地址信号选择,而地址信号由ALE锁存;EOC是转换情况状态信号(类似于AD574的STATUS),当启动转换约100us后,EOC产生一个负脉冲,以示转换结束;在EOC的上升沿后,若使输出使能信号OE为高电平,则控制打开三态缓冲器,把转换好的8位数据结果输至数据总线。
至此ADC0809的一次转换结束了。
图4-1 波形仿真图四、实验步骤1、利用Quartus II 5.1对附录4-1的程序进行文本编辑输入和仿真测试;给出仿真波形。
最后进行引脚锁定并进行测试,硬件验证附录4-1电路对ADC0809的控制功能。
2、测试步骤:根据图4-2,建议引脚锁定为:START接PIO34,OE接PIO35,EOC接PIO8,ALE接PIO33,状态机时钟CLK接clock0(PIN2,可选“65536Hz”或更高),ADDA接PIO32(ADDB和ADDC都接GND),ADC0809的8位输出数据线接PIO23~PIO16,锁存输出Q显示于数码8/数码7(PIO47~PIO40),具体查EDA/SOPC技术实验讲义附录第三节(P55)。
8.4 ADC0809接口电路及程序设计
分频模块(clock)
clock:process(clk) --对系统时钟进行分频,得到ADC0809转 换工作时钟 begin if clk'event and clk='1' then qq<=qq+1; --在clk1的上升沿, 转换至下一状态 if QQ="01111111" THEN clk1<='1'; current_state <=next_state; elsif qq<="01111111" then clk1<='0'; end if; end if; end process; q<=regl; abc_out<=abc_in; end behav;
ADC0809 VHDL采样控制程序设计
ADC0809的工作时序图
START是转换启动信号,一个正脉冲过后A/D开 始转换;ALE是3位通道选择地址(ADDC、 ADDB、ADDA)信号锁存信号。 当模拟量送至某一输入端(如IN-0或IN-1)等, 由3位地址信号选择,而地址信号由ALE锁存。 EOC是转换情况状态信号,当启动转换约100μs 后,EOC产生一个负脉冲,以示转换结束。 在EOC的上升沿后,且输出使能信号ENABLE为 高电平,则控制打开三态缓冲器,把转换好的8 位数据送至数据总线。 至此ADC0809的一次转换结束
FPGA与ADC0809接口电路原理图
ADC0809与FPGA接口电路设计
FPGA_IO1~8接收ADC0809 8位数数据; FPGA_IO9接收ADC0809 转换结束信号EOC; FPGA_IO10~12 为ADC0809提供8路模拟信号开 关的3位地址选通信号(ADD-A~C); FPGA_IO13 为ADC0809提供地址锁存控制信号 ALE:高电平时把三个地址信号送入地址锁存器, 并经译码器得到地址输出,以选择相应的模拟输 入通道;
ADC0809中文资料
A D C0809中文资料(总5页) -CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。
它是逐次逼近式A/D转换器,可以和单片机直接接口。
(1)ADC0809的内部逻辑结构由下图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。
多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。
三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。
(2).ADC0809引脚结构ADC0809各脚功能如下:D7-D0:8位数字量输出引脚。
IN0-IN7:8位模拟量输入引脚。
VCC:+5V工作电压。
GND:地。
REF(+):参考电压正端。
REF(-):参考电压负端。
START:A/D转换启动信号输入端。
ALE:地址锁存允许信号输入端。
(以上两种信号用于启动A/D转换)EOC:转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。
OE:输出允许控制端,用以打开三态数据输出锁存器。
CLK:时钟信号输入端(一般为500KHz)。
A、B、C:地址输入线。
ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。
地址输入和控制线:4条ALE为地址锁存允许输入线,高电平有效。
当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进入转换器进行转换。
A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。
通道选择表如下表所示。
C B A选择的通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6数字量输出及控制线:11条ST为转换启动信号。
ADC0809基本认识
ADC0809引脚图与接口电路作者:来源:本站原创点击数:更新时间:2007年07月29日A/D转换器芯片ADC0809简介 8路模拟信号的分时采集,片内有8路模拟选通开关,以及相应的通道抵制锁存用译码电路,其转换时间为100μs左右。
图9.8 《ADC0809引脚图》1. ADC0809的内部结构ADC0809的内部逻辑结构图如图9-7所示。
图9.7 《ADC0809内部逻辑结构》图中多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用一个A/D 转换器进行转换,这是一种经济的多路数据采集方法。
地址锁存与译码电路完成对A、B、C 3个地址位进行锁存和译码,其译码输出用于通道选择,其转换结果通过三态输出锁存器存放、输出,因此可以直接与系统数据总线相连,表9-1为通道选择表。
表9-1 通道选择表2.信号引脚ADC0809芯片为28引脚为双列直插式封装,其引脚排列见图9.8。
对ADC0809主要信号引脚的功能说明如下:IN7~IN——模拟量输入通道ALE——地址锁存允许信号。
对应ALE上跳沿,A、B、C地址状态送入地址锁存器中。
START——转换启动信号。
START上升沿时,复位ADC0809;START下降沿时启动芯片,开始进行A/D转换;在A/D转换期间,START应保持低电平。
本信号有时简写为ST.A、B、C——地址线。
通道端口选择线,A为低地址,C为高地址,引脚图中为ADDA,ADDB和ADDC。
其地址状态与通道对应关系见表9-1。
CLK——时钟信号。
ADC0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。
通常使用频率为500KHz的时钟信号EOC——转换结束信号。
EOC=0,正在进行转换;EOC=1,转换结束。
使用中该状态信号即可作为查询的状态标志,又可作为中断请求信号使用。
D 7~D——数据输出线。
为三态缓冲输出形式,可以和单片机的数据线直接相连。
D 0为最低位,D7为最高OE——输出允许信号。
单片机与ADC0809接口电路
单片机与ADC0809接口电路一、ADC0809主要信号引脚的功能说明1.IN7~IN0——模拟量输入通道2. ALE——地址锁存允许信号。
对应ALE上跳沿,A、B、C地址状态送入地址锁存器中。
3. START——转换启动信号。
START上升沿时,复位ADC0809;START下降沿时启动芯片,开始进行A/D转换;在A/D转换期间,START应保持低电平。
本信号有时简写为ST.4. A、B、C——地址线。
通道端口选择线,A为低地址,C为高地址5. CLK——时钟信号。
ADC0809的内部没有时钟电路,所需时钟信号由外界提供,因此有时钟信号引脚。
使用频率为10KHz~1280KHz的时钟信号6. EOC——转换结束信号。
EOC=0,正在进行转换;EOC=1,转换结束。
使用中该状态信号即可作为查询的状态标志,又可作为中断请求信号使用。
7. D7~D0——数据输出线。
为三态缓冲输出形式,可以和单片机的数据线直接相连。
D0为最低位,D7为最高8.OE——输出允许信号。
用于控制三态输出锁存器向单片机输出转换得到的数据。
OE=0,输出数据线呈高阻;OE=1,输出转换得到的数据。
9.Vcc—— +5V电源。
Vref——参考电源参考电压用来与输入的模拟信号进行比较,作为逐次逼近的基准。
其典型值为+5V(Vref(+)=+5V, Vref(-)=0V).二、原理图三、电路连接说明及工作过程AT89c51单片机的P1口用作数据复用总线与ADC0809的数据输出端相连(原理图未画出)。
单片机的低3位(P0.0~P0.2)数据线(选通abc)用于选择8路模拟量输入。
ADC0809的时钟信号CLK由单片机的ALE信号提供,由于单片机内部晶振频率为11.0592MHz,即单片机的ALE输出为1/6f osc=1.8432MHz,经四分频器得到输入到0809CLKk端的信号频率为460.8KHz,满足工作要求。
转换的启动信号START和8路模拟输入开关的地址锁存允许信号ALE由单片机的写信号WR(P3.6)及地址译码输出信号逻辑提供。
ADC0809接口电路
8位A/D转换器芯片ADC0809ADC0809是CMOS单片型逐次逼近式A/D转换器,ADC0809的主要特性:● 它是具有8路模拟量输入、8位数字量输出功能的A/D转换器。
● 转换时间为100μs。
● 模拟输入电压范围为0V~+5V,不需零点和满刻度校准。
● 低功耗,约15mW。
(1)ADC0809结构框图及引脚说明图4.24 ADC0809的结构框图和引脚通道选择开关通道地址锁存和译码逐次逼近A/D转换器8位锁存器和三态门(2)ADC0809的工作过程对ADC0809的控制过程是:① 首先确定ADDA、ADDB、ADDC三位地址,决定选择哪一路模拟信号;② 使ALE端接受一正脉冲信号,使该路模拟信号经选择开关到达比较器的输入端;③ 使START端接受一正脉冲信号,START的上升沿将逐次逼近寄存器复位,下降沿启动A/D转换;④ EOC输出信号变低,指示转换正在进行。
⑤ A/D转换结束,EOC变为高电平,指示A/D转换结束。
此时,数据已保存到8位三态输出锁存器中。
此时CPU就可以通过使OE信号为高电平,打开ADC0809三态输出,由ADC0809输出的数字量传送到CPU。
(3)CPU读取A/D转换器数据的方法① 查询法优点:接口电路设计简单。
缺点:A/D转换期间独占CPU,致使CPU运行效率降低。
② 定时法:优点:接口电路设计比查询法简单,不必读取EOC的状态。
缺点:A/D转换期间独占CPU,致使CPU运行效率降低;另外还必须知道A/D转换器的转换时间。
CPU读取A/D转换器数据的方法③ 中断法优点:A/D转换期间CPU可以处理其它的程序,提高CPU的运行效率。
图4.25 ADC0809接口电路缺点:接口电路复杂。
(4)ADC0809接口电路图 4. 25 ADC0809接口电路[例4.1]利用图4.25,采用无条件传送方式,编写一段轮流从IN0~IN7采集8路模拟信号,并把采集到的数字量存入0100H开始的8个单元内的程序。
模数转换集成电路ADC0809
模数转换集成电路ADC0809
1.ADC0809的引脚排列图
ADC0809是8位8路CMOS集成A/D转换电路,共有28个端子,其引线排列如图所示。
2.ADC0809的引脚功能
IN0~IN7:8路模拟信号输入端。
START:启动A/D转换,当该引脚施加正脉冲后,开始A/D转换过程。
EOC:转换结束信号,当完成A/D转换时发出一个高电平信号,表示转换结束。
A2、A1、A0:模拟通道选择器地址输入端,根据其值选择8路模拟信号中的一路进行A/D转换。
ALE:地址锁存信号,高电平有效,当ALE=1时,选中A2A1A0选择的一路,并将其代表的模拟信号接入A/D转换器中。
D0~D7:8路数字信号输出端。
VREF(+)、VREF(-):参考电压端,提供D/A转换器权电阻的标准电平,一般VREF(+)端接+5V,VREF(-)端接地。
OE:允许输出控制端,高电平有效。
CLOCK:时钟信号输入端,外接时钟频率一般为500 kHZ。
VDD:+5V电源。
GND:地端。
AD转换电路(ADC0809)
D0~D7:8位数字量输出引脚。 IN3 IN0~IN7:8路模拟量输入引脚。 IN4 IN5 Vcc:+5V工作电源。 IN6 GND:地。 IN7 VREF(+):参考电压正端。 START VREF(-):参考电压负端。 EOC D3 START:A/D转换启动信号输入端。 OE ALE:地址锁存允许信号输入端。 CLK EOC:转换结束输出引脚。 V OE:输出允许控制端。 V (+) CLK:转换时钟信号。500kHz左右。 GND ADDA、ADDB、ADDC:地址输入线。 D1
主要参数: 分辨率 :表示A/D对模拟输入的分辨能力,由它确定能被A/D辨
别的最小模拟量,通常也用二进制位来表示。
量化误差:是在A/D转换中由于整量化所产生的固有误差。对于
舍入(四舍五入)量化误差在1/2LSB之间。
转换时间:是A/D转换完成一次所需要的时间。 绝对精度:是A/D转换器输出端所产生的数字代码中,分别对应
ADC0809与单片机接口
通道地址: 78H~7FH
程序清单:
START:
ORG MOV
LOOP: 约40us DELY:
0030H R0,#40H ;采样数据存放首址 MOV R1,#78H ;IN0通道地址 MOV R2,#08H ;模拟量通道数 CLR EX0 ;禁止中断 MOVX @R1 ,A ;启动A/D转换 MOV R3,#20H ;延时一会儿, DJNZ JB R3,DELY SETB P3.2 ;等待EOC信号变低 ;设置P3.2为输入
1
ADC574工 作时序表 1 1 1 1
adc0809模数转换原理
adc0809模数转换原理ADC0809是一种集成电路模拟到数字信号转换器,它将模拟信号转换成数字信号在数字电路中使用。
该芯片有许多用途,其中包括数据采集、仪器控制、自动测试和智能控制系统。
ADC0809输入端须接同一电源,实为解决了模拟与数字之间的接口。
ADC0809的8位模数转换器具有较高的精度和灵敏度,可以在2.7至6伏的电源电压下工作。
输入信号的范围可以从0到电源电压不等。
ADC0809还含有内部参考电压源。
ADC0809的模数转换原理基于积分器、比较器和计数器的原理。
积分器积分输入信号,比较器确定信号是否超过阈值,计数器记录比较器的输出。
然后将计数器的值转换为二进制数字,并存储在输出寄存器中。
ADC0809模数转换器的主要组成部分包括:1.输入多路选择器:ADC0809有8个输入通道,支持多种输入源。
2.输入样本保持电路:保持电路的作用是捕捉输入信号值并在采样期间保持该值不变。
它还允许单一转换器采样多个通道。
3.模数转换器:使用积分器、计数器和比较器将输入模拟信号转换为数字信号,并存储在输出寄存器中。
4.内部参考电压源:提供比较器引脚的基准电压。
5.输出寄存器:将数字输出存储在寄存器中,以便在需要时读取。
ADC0809的模数转换速度是由时钟信号控制的,时钟信号从外部提供。
增加时钟信号的速度可以提高ADC转换的速度,但是会降低其精度。
ADC0809的模数转换具有高精度和稳定性。
它的输出信号是二进制代码,在数字电路中可以直接使用。
此外,ADC0809的应用还需要提供一个数量适当的电容来滤除输入信号的高频噪声。
这个电容通常为盆式电容,它可以过滤高频噪声,从而提高ADC的精度。
总之,ADC0809是一种常用的模数转换器,可以将模拟信号转换成数字信号,并在数字电路中使用。
它主要由输入多路选择器、输入样本保持电路、模数转换器、内部参考电压源和输出寄存器等组成。
ADC0809的模数转换速度是由时钟信号控制的,其输出信号是二进制代码,在数字电路中可以直接使用。
计算机接口与微机原理-第9周-模拟数字转换器ADC0809
计算机接⼝与微机原理-第9周-模拟数字转换器ADC0809模拟数字转换器ADC0809n模/数转换器n模/数转换器的性能参数n模/数转换原理n ADC 0809的内部结构图n ADC 0809的⼯作⽅式n ADC 0809芯⽚的接⼝电路中⼭⼤学信息科学与技术学院陈任数/模和模/数转换v当⽤计算机来构成数据采集或过程控制等系统时,所要采集的外部信号或被控制对象的参数,往往是温度、压⼒、流量、声⾳和位移等连续变化的模拟量。
v计算机只能处理不连续的数字量,即离散的有限值。
v因此,必须⽤模数转换器即A/D转换器,将模拟信号变成数字量后,才能送⼊计算机进⾏处理。
v计算机处理后的结果,也要经过数模转换器即D/A转换器,转换成模拟量后,在⽰波器上显⽰结果波形和在记录仪上描记下来,或驱动执⾏部件,达到控制的⽬的。
模/数转换器v我们时常需要把模拟信号转化为数字信号, 以便进⾏数据的存储,处理和传输,如:把电压,电流,光,声⾳等模拟信号转化为数字信号。
v模/数转换器可以把模拟信号转换为数字信号,也称为ADC(Analog-to-Digital Converter)。
模数转换器ADC模拟信号数字信号模拟信号8位模数转换器ADC8位⼆进制数X 参考电压: V refv输⼊模拟信号(如,电压值0~+5V) ,启动模数转换,产⽣8位⼆进制数输出。
start模/数转换器原理v实现A/D转换的基本⽅法有⼗⼏种,常⽤的有计数法,逐次逼近法,双斜积分法和并⾏转换法。
v逐次逼近式A/D转换具有速度快,分辨率⾼等优点,且采⽤这种⽅法的ADC芯⽚成本较低,因此在计算机数据采集系统中获得了⼴泛的应⽤。
v逐次逼近式A/D转换器的转换原理是建⽴在逐次逼近的基础上,把输⼊电压V i和⼀组从参考电压分层得到的量化电压进⾏⽐较,⽐较从最⼤的量化电压开始,由粗到细逐次进⾏,由每次⽐较的结果来确定相应的位是1还是0。
不断⽐较和逼近到两者差别⼩于某⼀误差范围时即完成了⼀次转换。
ADC0809芯片的原理及应用
目录引言 (1)1 ADC0809的逻辑结构 (1)1.1 ADC0809引脚结构 (1)1.2 ADC0809的主要性能指标 (3)1.3 ADC0809的内部逻辑结构 (3)1.4 ADC0809的时序 (4)2 ADC0809与MCS-51单片机的接口电路 (5)2.1 0809与51单片机的第一种连接方式 (7)2.2 0809与51单片机的第二种连接方式 (9)2.3 0809与51单片机的第三种连接方式 (10)3 ADC0809与单片机制作的数字电压表 (11)总结 (16)参考文献 (16)英文翻译 (17)ADC0809芯片的原理及应用摘要:ADC0809是8位逐次逼近型A/D转换器,是目前应用比较广泛、典型的A/D转换芯片之一。
本文主要介绍ADC0809芯片的内部逻辑结构、引脚分布,并详细阐述了其工作原理。
在此基础上设计了两种相关应用电路——ADC0809与单片机的接口电路及数字电压表,并对这两种应用电路的可行性进行了讨论。
通过对ADC0809应用电路的探究,能更全面的提高对应用系统的分析、设计能力,对实践具有重要的指导意义。
关键词:ADC0809;模数转换;单片机引言A/D转换器是模拟信号源与计算机或其它数字系统之间联系的桥梁,它的任务是将连续变化的模拟信号转换为数字信号,以便计算机等数字系统进行处理、存储、控制和显示。
在工业控制和数据采集及许多其它领域中,A/D转换器是不可缺少的重要组成部分,它的应用已经相当普遍。
目前用软件的方法虽然可以实现高精度的A/D转换,但占用CPU时间长,限制了应用。
8位A/D转换器ADC0809作为典型的A/D转换芯片,具有转换速度快、价格低廉及与微型计算机接口简便等一系列优点,目前在8位单片机系统中得到了广泛的应用。
1 ADC0809的逻辑结构ADC0809是带有8位A/D转换器、8路模拟开关以及微处理机兼容的控制逻辑的CMOS组件。
它是逐次逼近式A/D转换器,是目前应用比较广泛的A/D转换芯片之一,主要适用于对精度和采样速率要求不高的场合或一般的工业控制领域,可以和单片机直接相连。
AD0809详解
们重在实际制做,太罗嗦的内容我就不说了,只讲些跟制做有关的最精炼的知识。
ADC0809是可以将我们要测量的模拟电压信号量转换为数字量从而可以进行存储或显示的一种转换IC。
下面是它的管脚图和逻辑图:管脚功能说明:IN0-IN7:模拟量输入通道。
就是说它可以分时地分别对八个模拟量进行测量转换。
ADDA-C:地址线。
也就是通过这三根地址线的不同编码来选择对哪个模拟量进行测量转换。
ALE:地址锁存允许信号。
在低电平时向ADDA-C写地址,当ALE跳至高电平后ADDA-C上的数据被锁存START:启动转换信号。
当它为上升沿后,将内部寄存器清0。
当它为下降沿后,开始A/D转换。
D0-D7:数据输出口。
转换后的数字数据量就是从这输出给S52的。
OE:输出允许信号,是对D0-D7的输出控制端,OE=0,输出端呈高阻态,OE=1,输出转换得到的数据。
CLOCK:时种信号。
ADC0809内部没有时钟电路,需由外部提供时钟脉冲信号。
一般为500KHzEOC:转换结束状态信号。
EOC=0,正在进行转换。
EOC=1,转换结束,可以进行下一步输出操作REF(+)、REF(-):参考电压。
参考电压用来与输入的模拟量进行比较,作为测量的基准。
一般REF(=)=5v REF(-)=0V。
下面我先给出ADC0809的时序图再说说它的工作过程:它的工作过程是这样的,①在IN0-IN7上可分别接上要测量转换的8路模拟量信号。
有人问了,可不可以只接一路?我就只想测一个模拟信号。
当然可了②将ADDA-ADDC端给上代表选择测量通道的代码。
如000(B)则代表通道0;001(B)代表通道1;111则代表通道7。
③将ALE由低电平置为高电平,从而将ADDA-ADDC送进的通道代码锁存,经译码后被选中的通道的模拟量送给内部转换单元。
④给START一个正脉冲。
当上升沿时,所有内部寄存器清零。
下降沿时,开始进行A/D转换;在转换期间,START保持低电平。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
8位A/D转换器芯片ADC0809
ADC0809是CMOS单片型逐次逼近式A/D转换器,ADC0809的主要特性:
● 它是具有8路模拟量输入、8位数字量输出功能的A/D转换器。
● 转换时间为100μs。
● 模拟输入电压范围为0V~+5V,不需零点和满刻度校准。
● 低功耗,约15mW。
(1)ADC0809结构框图及引脚说明
图4.24 ADC0809的结构框图和引脚
通道选择开关
通道地址锁存和译码
逐次逼近A/D转换器
8位锁存器和三态门
(2)ADC0809的工作过程
对ADC0809的控制过程是:
① 首先确定ADDA、ADDB、ADDC三位地址,决定选择哪一路模拟信号;
② 使ALE端接受一正脉冲信号,使该路模拟信号经选择开关到达比较器的输入端;
③ 使START端接受一正脉冲信号,START的上升沿将逐次逼近寄存器复位,下降沿启动A/D转换;
④ EOC输出信号变低,指示转换正在进行。
⑤ A/D转换结束,EOC变为高电平,指示A/D转换结束。
此时,数据已保存到8位三态输出锁存器中。
此时CPU就可以通过使OE信号为高电平,打开ADC0809三态输出,由ADC0809输出的数字量传送到CPU。
(3)CPU读取A/D转换器数据的方法
① 查询法
优点:接口电路设计简单。
缺点:A/D转换期间独占CPU,致使CPU运行效率降低。
② 定时法:
优点:接口电路设计比查询法简单,不必读取EOC的状态。
缺点:A/D转换期间独占CPU,致使CPU运行效率降低;另外还必须知道A/D转换器的转换时间。
CPU读取A/D转换器数据的方法
③ 中断法
优点:A/D转换期间CPU可以处理其它的程序,提高CPU的运行效率。
图4.25 ADC0809接口电路
缺点:接口电路复杂。
(4)ADC0809接口电路
图 4. 25 ADC0809接口电路
[例4.1]利用图4.25,采用无条件传送方式,编写一段轮流从IN0~IN7采集8路模拟信号,并把采集到的数字量存入0100H开始的8个单元内的程序。
程序如下:
MOV DI, 0100H;设置存放数据的首址
MOV BL,08H;采集8次计数器
MOV AH,00H;选0通道
AA1∶MOV AL,AH
MOV DX,ADPORT;设置ADC0809芯片地址
OUT DX,AL;使ALE、START有效,选择模拟通道,见图10.18
MOV CX, 0050H
WAIT∶LOOP WAIT;延时,等待A/D转换
IN AL,DX;使OUTPUTENABLE有效,输入数据,见图10.18
MOV[DI],AL;保存数据
INC AH;换下一个模拟通道
INC DI;修改数据区指针
DEC BL
JNZ AA1
更多datasheet搜索: 。