QUARTUS__LPM模块功能介绍中文版

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

QUARTUS8.0LPM模块功能介绍中文版(lwg9982004's Blog转载)

QUARTUS8.0LPM模块功能介绍中文版(lwg9982004's Blog转载)

本人英语很好很多地方都翻译的不太专业,现在贴出来请高手修改下,同时也方便我们这些初学者。

Megafunctions/LPM

The Quartus II software offers a variety of megafunctions, including the library of parameterized modules(LPM) functions and other parameterized functions. Megafunctions are listed below by function.Functions indicated by an asterisk(*)are provided for backward compatibility only.

Arithmetic MegaWizards and Megafunctions: Arithmetic components include accumulators,adders, multipliers,and LPM arithmetic functions.

MegaWizard

Megafunction(s)

Comments

ALTACCUMULATE

altaccumulate

Parameterized accumulator megafunction.

参数化的寄存器模块

ALTECC

altecc_decoder

Error correction code(ECC)megafunction.

数据代码纠正模块

altecc_encoder

Error correction code(ECC)megafunction.

数据代码纠正模块

ALTFP_ADD_SUB

altfp_add_sub

Floating-point adder/subtractor megafunction.

浮点、加法器/减法器模块

ALTFP_COMPARE

altfp_compare

Parameterized floating-point comparator megafunction.参数化的浮点比较器模块

ALTFP_CONVERT

altfp_convert

Parameterized floating-point conversion megafunction.参数化的浮点转换器模块

ALTFP_DIV

altfp_div

Parameterized floating-point divider megafunction.

参数化的浮点分配器模块

ALTFP_MULT

altfp_mult

Parameterized floating-point multiplier megafunction.参数化的浮点乘法器模块

ALTFP_SQRT

altfp_sqrt

Parameterized floating-point square root megafunction.参数化的浮点平方根模块

ALTMEMMULT

altmemmult

Parameterized memory multiplier megafunction.

数化的记忆乘法器模块

ALTMULT_ACCUM(MAC)

altmult_accum

Parameterized multiply-accumulate megafunction.参数化的乘-累积模块

ALTMULT_ADD

altmult_add

Parameterized multiplier/adder megafunction.

参数化的乘法器/加法器模块

ALMULT_COMPLEX

altmult_complex

Parameterized complex multiplier megafunction.参数化的综合乘法器模块

ALTSQRT

altsqrt

Parameterized integer square root megafunction.参数化的整数平方根模块

LPM_ABS

lpm_abs

Parameterized absolute value megafunction.参数化的绝对值模块

LPM_ADD_SUB

lpm_add_sub

Parameterized adder/subtractor megafunction.参数化的加法器/减法器模块

LPM_COMPARE

lpm_compare

Parameterized comparator megafunction.

参数化的比较器模块

LPM_COUNTER

lpm_counter

Parameterized counter megafunction.

参数化的计数器模块

LPM_DIVIDE

lpm_divide

Parameterized divider megafunction.

参数化的分配器模块

divide*

Parameterized divider megafunction.

参数化的分配器模块

Use the divide megafunction with mature device families. For newer device families,use the lpm_divide megafunction.

LPM_MULT

lpm_mult

Parameterized multiplier megafunction.

参数化的乘法器模块

altsquare

Parameterized squarer megafunction.

参数化的squarer模块

PARALLEL_ADD

parallel_add

相关文档
最新文档