直接数字合成技术综述报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
DDS结构如图所示,累加器输出端的三位最低有效位可能被截断,而截断将导致芯片尺寸和功耗的减小,并且只会导致微量的杂散的增加。1st最高有效位的输出被用作吉尔伯特单元的输入。2nd最高有效位被用作在编码表之前的正弦波形第三第四象限的剩余三位输出的补充。在这之后7位的编码表的输入将作为正弦加权DAC的输入。所有电流相加并被转化为电压信号来作为吉尔伯特单元的第二输出。吉尔伯特单元的输出就组成了DDS的输出。
2.具有16.8GHz和488mW功耗的MMIC集成的基于差分对的DDS合成器[4]。
该DDS合成器基于双极化锗硅工艺,并具有8位的相位分辨率和6位的幅度分辨率,在是时钟频率位16.8GHz的条件下最大的输出功率为8.3344GHz,频率分辨率为65.625MHz
如图所示为DDS结构,该结构包含一个输入寄存器,一个8位相位累加器,一个6位的数模转换器,一个矩形转换正弦波的模拟波形转换器,一个差分100欧姆输出缓冲区。相幅映射通过微分对饱和体现在模拟域上,这个想法之前已经成功应用在低速模拟信号的发生上。而在这里信号在数字域产生,并由DAC转换至模拟域。这个系统的数字部分只能产生数字三角波并不需要额外的解码。
上图为幅度调制的改良结构,该结构是通过两个相互独立的加抖源的基于PDDS的微分相位AM调制拓扑结构。在这个结构中,输出的本低噪声降低了3dB/Hz加抖相位并不是直接加在相位累加器上而是加在了两个相互独立地生成相位上,对于每个相位,都会使用一个相互独立的完全随机的发生器。
参考文献:
[1]戴晴,黄纪军,莫锦军.现代微波与天线测量技术[M].北京:电子工业出版社,2012:17.
[6]Galanopoulos, K. ,Sotiriadis, P.P.Modulation Techniques for All-Digital Transmitters based on Pulse Direct Digital Synthesizers[R].Frequency Control Symposium (FCS), 2012 IEEE International,2012:1-4.
[5]Gaopeng Chen ,Danyu Wu, Zhi Jin , Jin Wu ,Xinyu Liu.A 10GHz 8-bit Direct Digital Synthesizer Implemented in GaAs HBT Technology[R].Radio Frequency Integrated Circuits Symposium (RFIC), 2010 IEEE,2010:425-428.
相对于非线性DAC,线性DAC更加成熟并且易于定性,因此我们倾向于选择线性DAC,并且经过研究已经发现6位的DAC已经足够达到满意的频谱结果。
3.基于砷化镓材料的异质性双极晶体管的10GHz8位DDS合成器[5]。
该DDS采用一种双边沿触发的八级管道累加器和不采用ROM结构的正弦加权DAC,正是由于采用了这种结构,可以最大程度利用HBT所带来的速度上的优势。在输出频率为5GHz的同时,DDS的无杂散动态范围为23.24dBc。
该DDS可用于脉冲压缩雷达,包含一个用于相位累加的24位行波进位加法器(RCA),一个用于相位调制的12位RCA,一个10位的正弦DAC,并采用了一种避免使用ROM的DDS结构。这个DDS结构有超过20000个晶体管并具有最高5GHz的时钟频率,最差的无杂散动态范围为45dBc并具有50MHz的带宽,当输出等于1.246258914GHz的情况下,该带宽范围内的无杂散动态范围为82dBc。
如图所示为DDS结构,该结构有四部分组成:一个D-bit正弦加权数模转换器,一个被用作相位调制的P-bit加法器,和被用作N-bit线性调频斜坡信号发生器的一个N-bit相位累加器和另一个N-bit的累加器。线性调频控制字(CCW),频率控制字(FCW)和相位控制字(PCW)分别用来控制线性调频累加器,相位累加器和相位调制。通过对这种数字控制字的直接应用,可以很轻易的改变在DDS寄存器中的数据路径,在这种不需要ROM的DDS结构中,加权正弦DAC同时起到相幅转换器和数模转换器的作用,在突破了ROM的速度瓶颈后,DDS可以很轻易的输出去GHz以上的频率。
上图所示为FM/FSK调制PDDS,调制是通过向频率控制字加入数据流而得以实现的,数据流中的幅度信息是FCW中很小的一部分。
上图为PM/PSK调制PDDS,因为PDDS的n位相位寄存器输出就带有所产生信号的相位信息,所以我们可以通过对相位寄存器的输出加数据流而实现PM/PSK。如果需要,我们还可以通过对最低有效位的位置信息中加入零点而使数据流转换成一个n位的信号,如果这么做的话,整个360度的相位范围都可以被用到等距的相位调制步骤中来。
直接数字频率合成技术综述报告
摘要:频率源是现代电子设备的重要组成部分,对系统功能有着举足轻重的作用,而直接数字频率合成技术(directdigital synthesis technology)是组成现代频率源的关键技术[1]。本文阐述了DDS的背景,基本原理等,以及DDS技术在国内外的实例等。
背景:频率合成是现代电子系统的心脏,是影响电子系统的关键因素之一,广泛用于通信,导航,电子战,遥控遥测,仪器仪表等行业中[2]。在诸多频率合成技术中,直接数字频率合成技术将在下一代雷达与通信系统中发挥至关重要的作用,雷达系统的不断发展使频率合成器产生了对低功耗,高输出频率,良好的频率分辨率,快速的信道切换和良好的调制功能等一系列性能指标产生了越来越高的要求,而DDS合成器表现出的优秀性能相较于其他频率合成器很难被取代。
根据奈奎斯特采样定理,每个想为周期内至少需要两个采样点才能形成输出波形,所以DDS的最大输出频率只有本振频率的一半,实际应用中,为了保证输出波形的质量和更好的滤波,通常将DDS的输出频率限制在 以下。
国内外实例:
1.基于0.13微米锗硅BiCMOS工艺的具有直接数字解调功能的24位5GHz的DDS合成器[3]:
[2]张涛,陈亮.现代DDS的研究进展与概述[J].电子科技,2008,21(3):46-51
[3]Xueyang Geng , Fa Foster Dai , Irwin, J.D. ,Jaeger, R.C.24-Bit 5.0 GHzDirect Digital Synthesizer RFICWith Direct Digital Modulations in 0.13 m SiGeBiCMOS Technology[J].
原理:DDS原理图如图所示,它包含相位累加器,波形存储器,数模转换器,低通滤波器和参考时钟五个部分。其工作原理是:在参考时钟的作用下,相位累加器按照预先设置好的频率控制码进行线性累加,其输出在波形存储器中进行寻址使之输出相应的幅度码。再由数模转换器将这些数字码变换成模拟电压/电流输出,最后经过低通滤波器平滑输出波形。假设要输出的频率是固定的,那么相位增量就是一个常数,在每个时钟周期,相位累加器的数值就按照这个相位增量累加一次,相位增量的大小由频率控制字决定,如果相位增量大,则相位累加器的增加就比较快,输出的频率就比较高,反之亦然[1]。
上图为幅度调制的基本方法,该方法的主旨是采用了改良过的相位加抖的PDDS,通过这个PDDS来产生两个具有相同频率但相位d(t)却不同的信号,数据流的信息s(t)被编码到d(t)中,接着通过两个电阻器两个1位的信号在模拟网络中相加即得到AM调制信号,数据编码的模式是d(t)=2*acrcos(s(t/2))
相对于普通DDS,在这个DDS中设计了一个DET8阶的管道型累加器,wk.baidu.com累加器的每一阶都是一个1位的累加器,采用这种技术,能大幅度提高DDS的速度。
4.基于脉冲DDS的针对全数字发射机的调制技术[2]。
脉冲DDS是一种压缩和简化了的直接全数字合成结构,相较于传统的DDS,脉冲DDS并没有正弦查询表和数模转换器。数字射频发射机要想获得小的封装体积和功耗以及一个相对可以接受的较宽的应用范围,就必须构建在具有杂散抑制抖动和调制能力的脉冲DDS上。为了获得一个完整的调制发射机结构结构,我们需要考虑诸如FM/FSK/PM/PSK/AM/ASK在脉冲DDS上的应用。
Solid-State Circuits, IEEE Journal of,2010,45(5):944-954.
[4]Laemmle, B. , Wagner, C. , Knapp, H. , Jaeger, H. , Maurer, L. ,Weigel, R.A Differential Pair-Based Direct Digital Synthesizer MMIC With 16.8-GHz Clock and 488-mW Power Consumption[J].Microwave Theory and Techniques, IEEE Transactions on,2010,58(5):1375-1383.
理想的正弦波信号v(t)可以表示成
在振幅和初始相位确定后,频率由相位唯一确定
于是可得每个时钟周期内的相位增量 。DDS就是利用这个原理进行频率合成的,满 时溢出,由上式可知在采样时钟一定的情况下,频率和相位增量构成映射关系。
上式中 表示一个相位周期内的相位点采样个数,用M表示,而一个N位的相位累加器所能存储的相位个数最多为 个,所以最小步进为 ,可以用频率控制字K控制相位累加的步进。
相关文档
最新文档