南昌大学 EDA 实验报告 实验五 VGA

合集下载

EDA-课程设计-VGA彩条信号发生器

EDA-课程设计-VGA彩条信号发生器

1绪论1.1 背景如今人们的生活水平和质量不断提高,对生活设备的智能化程度的要求越来越高。

现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要因素是生产制造技术和电子设计技术的发展。

前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管。

后者的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:IC设计、电子电路设计、PCB设计。

没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

随着电子技术的发展和人们对电子设计开发的难度及周期要求,EDA技术必将广发应用于电子设计的各个领域,因此本设计也采用了EDA的设计方法,其设计的优越性明显高于传统的设计方法。

为了实现VGA彩色显示的原理,我们从本质上去认识了生成图像的原理,从而制造更多更复杂的元器件做铺垫,本设计立足系统可靠性及稳定性等高技术要求,采用FPGA芯片实现VGA显示屏控制电路,通过对接口的认识,我们知道了怎么去设置接口成像参数。

其电路设计比较简单,外围电路少,易于控制和检查,较传统的分离元件实现方式有着明显的优势,尤其是其设计电路实现周期,其抗干扰及调试过程都很简单。

1.2 EDA技术的发展趋势各科研院所和高等院校的实验教学和科研工作中 EDA 技术将得到广泛的应用。

由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,而且由于用 EDA 技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级;整个系统可集成在一个芯片上等特点,使其将广泛应用于专用集成电路和机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域新产品的开发研制中。

实验五vga图显示控制

实验五vga图显示控制

实验五 VGA图像显示控制一实验目的1.进一步掌握VGA显示控制的设计原理。

2.了解图像的存储和读取方法。

3.进一步掌握4×4键盘或PS/2键盘接口电路设计方法。

4.掌握状态机设计复杂控制电路的基本方法。

二硬件需求1.EDA/SOPC实验箱一台。

三实验原理VGA图像显示控制利用实验三中学习的《VGA显示控制模块》显示存储于ROM中的图像数据。

要显示的图像是四块64*64像素大小的彩色图片,通过键盘控制可以选择不同的图片显示。

图像可以在屏幕上移动(水平和垂直方向每帧分别移动“H_Step”点和“V_Step”点),通过键盘改变“H_Step”和“V_Step”的值即可改变其移动速度和方向。

图像碰到屏幕边沿会反弹回去。

如图5.1所示H_StepV_Step图5.1 VGA图像显示控制示意图实验中要用到4×4键盘、VGA显示控制、ROM等模块,在《实验三常用模块电路的设计》中已经讲述,可以直接使用已做好的模块(可能需要修改部分代码)。

键盘也可以使用PS/2接口键盘,这样可以输入更多的字符。

四实验内容1、图5.2是整个设计的顶层电路。

图5.2 VGA图像显示控制顶层电路图2、实验三中学习的几个模块①“Read_Keyboard”模块与实验三中的4×4键盘模块一致;②四个ROM模块使用宏功能模块实现,并设置其内存初始化文件分别为“FBB.mif”、“dog.mif”、“cat.mif”、“flower.mif”,如图5.3所示。

当然也可以用其他图片(大小为64*64)使用“BmpToMif”软件生成对应的“mif”文件,如图5.4所示。

图5.3 内存初始化文件设置图5.4 获取内存初始化文件(mif文件)③“VGA”模块与实验三中基本一致,只需要在端口中屏蔽“key”端口,并加入“imag_rgb: in std_logic_vector(2 downto 0);”用于从外部输入图像数据。

EDA实验报告(全)

EDA实验报告(全)

目录实验一全加器的设计 (1)一实验目的 (1)二实验要求 (1)三实验步骤: (1)四实验结果: (2)五实验注意: (2)六实验心得: (2)实验二模可变计数器的设计 (3)一实验要求 (3)二实验步骤 (3)三、实验心得: (6)实验三序列信号发生器与检测器设计 (7)一、实验目的 (7)二、设计要求 (7)三、主要仪器设备 (7)四、实验原理 (7)五、实验步骤 (8)六、实验心得 (13)实验四交通灯控制器设计 (14)一、实验目的 (14)二、设计要求 (14)三、主要仪器设备 (14)四、实验思路 (14)五、实验步骤 (15)六、实验现象及验证 (22)七、实验心得 (23)实验五多功能数字钟设计 (24)一、实验目的 (24)二、设计要求 (24)三、主要仪器设备 (24)四、实验思路 (24)五、实验步骤 (25)六、实验现象及验证 (31)七、实验心得 (31)实验六出租车计价器设计 (32)一、实验目的 (32)二、实验任务及要求 (32)三、主要仪器设备 (32)四、实验思路 (32)五、实验步骤 (33)六、实验现象及验证 (39)七、实验心得 (39)南昌大学实验报告学生姓名:xx 学号:61004100xx 专业班级:通信101实验类型:□验证□综合□设计□创新实验日期:2012-9-17 实验成绩:实验一全加器的设计一实验目的以一位二进制全加器为例熟悉利用QuartusII的原理图输入方法和文本输入法设计简单组合电路;学习多层次工程的设计方法。

二实验要求⑴用文本方法实现半加器,再采用层次设计法用原理图输入完成全加器的设计;⑵给出此项设计的仿真波形;⑶用发光LED指示显示结果。

三实验步骤:1.(1)建立工作库文件夹,建立半加器工程h_adder,输入半加器VHDL代码并存盘。

library ieee;use ieee.std_logic_1164.all;entity h_adder isport ( a, b :in std_logic;co,so :out std_logic);end entity h_adder;architecture fh1 of h_adder isbeginso<=not(a xor (not b));co<=a and b;end architecture fh1;编译后转换得到半加器的元件符号h_adder(2)在同一工作库文件夹下,建立全加器工程fa,采用层次设计法调用元件半加器h_adder和或门or2完成全加器的原理图文件。

VGA学号显示程序实验报告

VGA学号显示程序实验报告

本科学生设计性实验报告项目组长学号成员专业_班级实验项目名称VGA学号显示控制电路设计指导教师及职称___开课学期2011 至2012 学年下学期上课时间2012 年 6 月27 日一、实验设计方案实验名称:VGA学号显示控制电路设计实验时间:2012年6月27日小组合作:是○否●小组成员:1、实验目的:学习VGA学号显示控制电路设计2、实验场地及仪器、设备和材料:场地:枫林实验室W201仪器、设备:试验箱一台、电脑一台3、实验思路(实验内容、数据处理方法及实验步骤等):3.1 实验原理:常见的彩色显示器一般由CRT(阴极射线管)构成,彩色是由R(红),G(绿),B(蓝)3基色组成。

显示采用逐行扫描的方式,阴极射线枪发出的电子束打在涂有荧光粉的荧光屏上,产生RGB三色基,最后合成一个彩色图像。

从屏幕的左上方开始自左向右的扫描,每扫完一行电子束回到下一行的最左端,每行结束后电子枪回扫的过程中进行消隐。

然后从新开始行扫描,消隐,直到扫描到屏幕的右下方,电子书回到屏幕的左上方重新开始新的图像扫描,并且在回到屏幕的左上方过程中进行消隐,在消隐的过程中不发射电子束。

每一行扫描结束时,用HS(行同步)信号进行同步;扫描完所有的行后用VS(场同步)信号进行同步。

VGA显示器总共需要五根信号线,分别为R\G\B三原色信号和行同步(HSYNC)、场同步(VSYNC)信号。

在通用的标准中,VGA的像素输出频率为25.175 MHz,行频率是31.496 KHz,场频率是59.94 Hz。

分辨率为640*480,即每行显示640个像素,每场显示480行。

这640*480是显示器的有效显示区(Visible area),除此之外,还有行、场消隐区(Back Porch),以及行、场同步区(Sync Pulse)以实现行列的同步操作。

如果利用实验室的液晶屏,可以增加分辨率至800*600,在这个标准下屏幕的刷新频率设为72Hz,行频率是48.08KHz。

EDA实验报告完结版

EDA实验报告完结版

EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。

具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。

二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。

实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。

三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。

通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。

2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。

运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。

3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。

在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。

4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。

明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。

5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。

6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。

观察电路在实际运行中的表现,对出现的问题进行分析和解决。

eda实验报告

eda实验报告

eda实验报告
1. 实验目的
通过本次实验,了解EDA(Electronic Design Automation)的基本概念和应用模式,并通过实际操作掌握EDA工具的使用方法和流程。

2. 实验原理
EDA是电子设计自动化的缩写,是指通过计算机技术来实现电子系统设计的各个环节的自动化。

常用的EDA工具有电路仿真、电路布局、原理图设计、印刷电路板设计等。

3. 实验步骤
3.1 电路仿真
首先,我们需打开EDA工具,并导入所需的仿真器和电路元件库。

其次,我们需绘制电路图并进行仿真,根据仿真结果进一步分析和改进电路设计。

3.2 电路布局
在电路设计完成后,我们需进行电路布局,以便更精确地计算
电路性能和参数。

在布局过程中,我们需根据电路设计需求进行
元件排布,并考虑布局紧凑性和功耗等因素。

3.3 原理图设计
电路图设计是EDA工具中非常重要的一个环节,它可以帮助
我们全面了解电路设计的各个细节,确定电路元件的类型和参数,以及进一步优化电路性能。

3.4 印刷电路板设计
在进行电路仿真、布局、原理图设计后,我们需将电路设计转
化为印刷电路板(PCB)的形式。

在进行印刷电路板设计前,我
们需考虑各个细节,在选择印刷方式、器件布局、线路距离、阻
抗匹配等方面进行优化和调整。

4. 实验结论
通过本次实验,我深刻认识到EDA工具在电子设计中的应用
和重要性,并掌握了EDA工具的基本操作方法和流程。

此外,我
了解了EDA工具在电子设计和生产中的优势和局限性,对于今后
电子设计工作的开展和优化有很大的指导意义。

EDA实验报告

EDA实验报告

EDA实验报告一、引言EDA(Exploratory Data Analysis)是一种数据分析的方法,旨在通过可视化和统计方法探索数据集的潜在模式、特征和异常值。

本实验旨在通过使用EDA技术,对给定的数据集进行分析和解释,以揭示数据集中的有意义信息。

二、数据集介绍本实验使用的数据集是关于某公司员工的绩效评估数据。

数据集包含几个重要变量,如员工的工作满意度、绩效评估得分、月均工作小时数等,共计有10个变量。

其中,工作满意度(satisfaction_level)和绩效评估得分(last_evaluation)为连续变量,而其他变量为离散变量。

三、数据预处理在进行EDA之前,我们首先对数据集进行了预处理。

具体步骤如下:1. 查看缺失值:通过使用缺失值检测方法,我们发现数据集中没有任何缺失值。

2. 删除重复值:通过检查数据集中的重复值,我们删除了其中的重复数据。

3. 处理异常值:通过使用离群值检测方法,我们发现某些变量存在异常值。

为了保证数据的准确性和可靠性,我们决定剔除这些异常值。

四、数据探索在进行EDA之前,我们首先对数据集中的各个变量进行了分布统计和描述性分析。

其中,我们计算了各个变量的平均值、中位数、标准差等统计指标,并绘制了直方图、箱线图和相关系数矩阵等图形。

1. 工作满意度分布通过对工作满意度进行可视化,我们发现该变量呈现近似正态分布的趋势,大部分员工的工作满意度集中在0.6-0.8之间。

2. 绩效评估得分分布通过对绩效评估得分进行可视化,我们发现该变量呈现双峰分布的特点,大部分员工的绩效评估得分集中在0.5-0.7和0.8-1.0之间。

3. 员工离职情况分析通过对离职率进行可视化,我们发现离职率大约为24%。

同时,我们还分析了不同离职情况下的其他变量的分布情况,发现离职员工的工作满意度明显低于未离职员工。

4. 关键变量相关性分析通过计算各个变量之间的相关系数,我们发现工作满意度与绩效评估得分呈现正相关关系,而与其他变量之间的相关性较弱。

实验五 VGA

实验五 VGA

南昌大学实验报告学生姓名:柳宇航学号:6102113025专业班级:通信工程中兴131班实验类型:□验证□综合□设计□创新实验日期:2015.12.3实验成绩:实验五VGA彩条信号发生器的设计(一)实验要求本实验要完成的任务就是通过FPGA在显示器上显示一些条纹或图案,要求CRT显示器上能够显示横条纹、竖条纹以及棋盘格子图案。

实验中系统时钟选择时钟模块的12MHz,用一个按键模块的S1来控制显示模式,每按下一次,屏幕上的图案改变一次,依次为横条纹、竖条纹以及棋盘格子图案。

实验的输出就直接输出到VGA接口,通过CRT显示器显示出来。

将南昌大学校门的图像存储到ROM中,实现当按键S2按下时,显示器显示南昌大学校门图像。

(二)实验步骤1.建立工作库文件夹,输入计数器的Verilog代码并存盘。

2.选目标器件CycloneII中的EP2C35F672C8并编译。

3.建立仿真波形文件,设置仿真结束时间和输入条件,进行波形仿真。

图1横条纹图2竖条纹图3棋盘格1开关转态为00时,显示横条纹开关转态为10时,显示棋盘格开关转态为01时,显示竖条纹开关转态为11时,显示棋盘格图3棋盘格24.管脚分配clock PIN_C13disp_RGB[0]PIN_AC7disp_RGB[1]PIN_AC6disp_RGB[2]PIN_AD6switch[0]PIN_H8switch[1]PIN_J8hcount_ov PIN_AD10rst PIN_J9vcount_ov PIN_AC95.下载测试。

下载到实验箱上测试,当K1,K2的状态为00时显示横条纹,当K1,K2的状态为01时显示竖条纹,当K1,K2的状态为10和11时显示棋盘格,符合彩条信号发生器的设计要求。

(三)实验小结分析时序得,当行计数达到800时,产生行同步信号,当扫描完一行即为一场,产生场同步信号。

当行计数大于141,场计数大于32时,进入显示区,其他时候为消隐区。

eda实验报告完整版

eda实验报告完整版

eda实验报告完整版EDA实验报告一、文献综述EDA,全称为Exploratory Data Analysis,是一种数据探索性分析方法。

EDA通过多种可视化工具和数据分析技术快速探索数据集的特征和结构,从而发现其中的规律和异常,确定数据的可靠性和种类。

EDA的主要目的在于对数据进行全面的分析和理解,为后续的数据处理和建模提供参考。

EDA作为数据预处理的重要步骤,在数据分析和建模中占据着重要的地位。

目前,随着数据收集、存储和分析技术的快速发展,EDA正在成为数据分析中不可缺少的部分。

在大数据时代,EDA的发展已经超越了其传统的数据探索性分析功能,成为了快速调试和优化模型的重要手段。

二、实验目的本次实验旨在掌握EDA技术方法和可视化工具,在实际数据集中进行数据预处理和探索性分析。

主要目标包括:1.掌握常用的EDA方法和可视化工具。

2.通过对实际数据集处理和分析,了解数据的特征和结构。

3.确定数据集的质量、可靠性和种类。

4.为后续的数据处理和建模提供参考。

三、实验流程1.数据集的加载和清洗本次实验选用的数据集为Iris数据集,包含了鸢尾花的三个品种(Setosa、Versicolour、Virginica)的四个特征(sepal length、sepal width、petal length、petal width)共150个样本。

由于Iris数据集已经经过处理,因此不需要进行特殊的预处理。

为了更好地探索Iris数据集,我们将其存储为dataframe格式,以方便进行数据的各类统计和可视化。

2.数据特征的可视化在数据特征的可视化中,我们使用了多种可视化工具包括:ggplot2和ggpubr。

下面是我们在R语言环境下所使用的代码。

# 加载ggplot2和ggpubrlibrary(ggplot2)library(ggpubr)#加载Iris数据集data("iris")df = iris# 1.绘制直方图hist <- ggplot(df, aes(x = Sepal.Length)) +geom_histogram(fill = "blue", alpha = .5, bins = 30) +ggtitle("Distribution of Sepal.Length")# 2.绘制密度图density <- ggplot(df, aes(x = Sepal.Width, fill = Species)) +geom_density(alpha = .5) +scale_fill_manual(values = c("#00AFBB", "#E7B800", "#FC4E07")) +ggtitle("Density plot of Sepal.Width")# 5.绘制箱线图boxplot <- ggplot(df, aes(x = Species, y = Sepal.Length, fill = Species)) + geom_boxplot() +ggtitle("Boxplot of Sepal.Length by Species")上述代码会生成6个图表,分别为直方图、密度图、散点图、热力图、箱线图和柱状图。

调幅波信号的解调

调幅波信号的解调

实验报告课程名称 EDA实验实验名称 VGA接口驱动实验实验类型综合(验证、综合、设计、创新)学院名称电子与信息工程学院专业电子信息工程(现代通信)年级班级 2012级电信2班开出学期 2014-2015上期学生姓名学号指导教师陈强成绩2014年12月13日实验五调幅波信号的解调一、实验原理及目的调幅波的解调过程实质上就是调制过程的反过程,称检波,其作用是从调幅波中不失真地检出调制信号。

调幅波解调方法有二极管包络检波器和同步检波器,二极管包络检波器适合于解调含有较大载波分量的信号电平较大(通常要求峰峰值在 1.5V 以上)的普通调幅波检波。

它具有电路简单、易于实现、其检波线性度最好;同步检波又称相干检波,主要利用一个和调幅信号的载波同步(同频同相)的恢复载波信号(又称基准信号)与调幅波相乘,再通过低通滤波器滤除高频分量实现。

在信号的调幅实验中,通过以下两点来理解调幅波信号的解调的特点:1、用示波器观察包络检波器解调 AM 波、DSB 波时的性能,熟悉包络检波电路结构,理解包络检波器只能解调 AM 波而不能解调 DSB 波的概念,并了解包络检波电路的主要指标及检波失真的影响因素。

2、掌握用 F1496 实现 AM 波和 DSB 波的同步检波方法,通过示波器观察同步检波器解调AM波、DSB波时的性能,并比较通过低通滤波器后的波形,理解低通滤波器对AM 波和 DSB 波解调的影响。

二、实验步骤(一)二极管包络检波器1、按实验电路5-1连接电路观察AM 信号的解调。

(1)、ma<30%的 AM 波的解调,要求 VAB=0.1V(或 0.2V),并用示波器观察,比较加滤波电路后的输出波形与调制信号(输出减小,且有失真)。

(2)、改变ma,观察ma=100%和 ma>100%的 AM 波的解调。

(3)、改变载波信号频率使 fC=500kHz,其余条件不变,观察并记录检波器输出端波形(此时输出减小,且有失真)。

vga显示实验报告

vga显示实验报告

VGA显示实验报告●技术资料对于普通的VGA 显示器,其引出线共含五个信号:●R、G、B:三基色信号。

●HS:行同步信号。

●VS:场同步信号。

VGA工业标准要求的频率:产生时钟频率25.175 MHz(像素输出的频率)行频31469 Hz 场频59.94 Hz(每秒图像刷新频率)VGA显示的关键是行、场扫描时序的产生。

显示器扫描方式分为逐行扫描和隔行扫描:逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。

隔行扫描是指电子束扫描时每隔一行扫一线,完成一屏后在返回来扫描剩下的线,隔行扫描的显示器闪烁的厉害,会让使用者的眼睛疲劳。

完成一行扫描的时间称为水平扫描时间,其倒数称为行频率;完成一帧(整屏)扫描的时间称为垂直扫描时间,其倒数称为场频率,即刷新一屏的频率,常见的有60Hz,75Hz等等。

标准的VGA显示的场频60Hz,行频31.5KHz。

行场消隐信号:是针对老式显像管的成像扫描电路而言的。

电子枪所发出的电子束从屏幕的左上角开始向右扫描,一行扫完需将电子束从右边移回到左边以便扫描第二行。

在移动期间就必须有一个信号加到电路上,使得电子束不能发出。

不然这个回扫线会破坏屏幕图像的。

这个阻止回扫线产生的信号就叫作消隐信号,场信号的消隐也是一个道理。

显示带宽:带宽指的显示器可以处理的频率范围。

如果是60Hz刷新频率的VGA,其带宽达640x480x60=18.4MHz,70Hz的刷新频率1024x768分辨率的SVGA,其带宽达1024x768x70=55.1MHz。

时钟频率:以640x480@59.94Hz(60Hz)为例,每场对应525个行周期(525=10+2+480+33),其中480为显示行。

VGA显示实验报告——孙小喃

VGA显示实验报告——孙小喃

学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:VGA显示专业名称:电子科学与技术班级:32050801学号:05学生姓名:孙小喃教师姓名:程鸿亮2010年11月13日组别第三组实验日期2010年11月13日实验室名称______________成绩_____ 一.实验名称VGA显示二.实验目的与要求目的:通过对VGA接口的显示控制设计,理解VGA接口的时序工作原理,掌握通过计数器产生时序控制信号的方法以及用MEGEFUNCTION制作锁相环的方法。

要求:通过VHDL编程,在VGA显示器上实现竖形彩条的显示,显示模式为640×480 60Hz刷新率。

本实验需要使用25MHz的时钟,而开发平台中并没有这个时钟资源,所以需要通过锁相环来实现。

三实验内容1. 打开QuartusII软件,建立一个新的工程:1) 单击菜单File\New Project Wizard…2) 输入工程的路径、工程名以及顶层实体名。

3) 单击Next>按钮,出现以下窗口由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。

4) 设置我们的器件信息:5) 单击Next>,指定第三方工具:这里我们不指定第三方EDA工具,单击Next>后结束工程建立。

2. 建立VHDL文件:1) 单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK 按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。

2) 首先编制实体“color”的代码,要求输入为25MHz的时钟信号,输出为行同步、帧同步以及三色数据线RGB[2..0]信号。

注意这个文件的实体名、文件名为“color”,不是顶层实体。

(参考程序附后)3) 生成符号由于此文件是整个工程的一个模块,我们需要把此文件转换为符号,以便后面可以通过绘图方式连接电路,点击File\Creat\Update\Create Symbol Files for Current File菜单,生成对应的符号。

EDA实验报告

EDA实验报告

EDA课程实践感悟EDA是电子设计自动化(Electronic Design Automation)的英文缩写,是随着集成电路和计算机技术飞速发展应运而生的一种快速、有效、高级的电子设计自动化工具。

这次学校为我们安排的EDA 课程包括了课堂讲授、课后自修以及课程实验三个环节,从这次课程当中我学习到了很多关于电子设计自动化的基础知识,锻炼提高了多方面的综合能力。

一自己对EDA的了解通过课堂老师的讲述和课后对书本的自习,我初步了解了EDA的特征和优势,目标和流程并知道了一些EDA公司和工具,并学习了可编程逻辑器件基础。

1 EDA的特征和优势在现代电子设计中,几乎所有的设计工作都需要在计算机上进行。

,设计者只需完成对系统功能的描述,就可以由计算机软件进行处理得到设计结果。

EDA以硬件描述语言(Hardware Description Language)为系统逻辑描述手段完成的设计文件可以自动完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、布局布线和仿真测试,直至实现既定的电子线路系统功能。

飞速发展EDA 技术使得“自定而下”的设计方法被广泛使用。

在这种新的设计方法中,由用户也对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路ASIC来实现。

用户首先从系统级设计入手,在顶层进行功能方框图的划分和结构设计;在方框图以及进行仿真、纠错看,并用硬件描述语言对高层次的系统行为进行描述;用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现可以是印制电路板或专用集成电路。

EDA技术便利了复杂系统的设计,为用户提供了强大的系统建模和电路仿真功能,全方位地利用计算机和自动设计、仿真和测试技术,降低了设计者的硬件知识和硬件经验的要求。

2 EDA的设计流程一个典型的EDA 设计流程主要包括设计准备,设计输入、设计处理、器件变成和设计验证等五个基本步骤。

设计输入有多种方式,包括采用硬件描述语言进行设计的文本输入方式、图形输入方式和波形输入方式,或者采用文本、图形两者混合的设计输入方式,也可以采用“自顶向下”的层次设计方法,将多个输入和并为一个设计文件。

EDA实验报告-VGA彩条显示

EDA实验报告-VGA彩条显示

EDA技术实验报告—VGA彩条实验班级:光094-2姓名:……学号:4229VGA彩条信号显示控制一、实验目的:1. 熟练掌握Verilog HDL语言和QuartusII 软件的使用;2. 理解状态机的工作原理和设计方法;3. 熟悉VGA 接口协议规范。

4.通过对VGA接口的显示控制设计,理解VGA接口的时序工作原理,掌握通过计数器产生时序控制信号的方法以及用MEGEFUNCTION制作锁相环的方法。

二、实验原理1、显示控制原理常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。

显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。

扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。

2、VGA时序信号计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、B 三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。

对于模拟显示设备,如模拟CRT 显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。

设计VGA 控制器的关键是产生符合VGA 接口协议规定的行同步和场同步信号,它们的时序关系如下图所示:h_sync:水平同步信号(负脉冲),每个水平扫描周期显示器刷新一行;v_sync:垂直同步信号(负脉冲),每个垂直扫描周期显示器刷新一帧;行同步信号(HS)场同步信号(VS)时序名称时钟数(像素数)时序名称行数前沿16 前沿10行同步96 场同步 2数据640 数据480后沿48 后沿33总像素数800 总行数525按照每秒60帧的刷新速度来计算,所需要的时钟频率为:频率=60Hz(帧数)×525(行)×800(每一行像素数)=25.2MHz所以我们通过开发系统的50MHz时钟资源,通过时钟分频产生25MHz的频率即可。

实验五-VGA

实验五-VGA

南昌大学实验报告学生姓名:赵震学号: 6103414030 专业班级:生物医学工程141班实验类型:□验证□综合√□设计□创新实验日期: 2016.12.16 实验成绩:实验五:VGA 彩条信号发生器的设计(一)实验目的:1.了解普通显示器正确显示的时序。

2.了解 VHDL 产生 VGA 显示时序的方法。

3.进一步加强对 FPGA 的认识。

(二)实验原理:VGA 显示器在显示过程中主要由五个信号来控制,分别是 R、G、B、HS 和VS。

其中 R、G、B 分别用来驱动显示器三个基色的显示,即红、绿和篮,HS是行同步信号,VS 是场同步信号。

在做本实验时,由于没有任何显示器驱动,所以显示器工作在默认状态,分辨率:640×480,刷新率:60Hz。

在此状态下,当VS和 HS都为低电平时,VGA 显示器显示亮的状态,其正向扫描过程约为 26us。

当一行扫描结束后,行同步信号 HS置高电平,持续约 6us 后,变成低电平,在HS为高电平期间,显示器产生消隐信号,这就是显示器回扫的过程。

当扫描完一场后,也就是扫描完 480行以后,场同步信号 VS置高电平,产生场同步,此同步信号可以使扫描线回到显示器的第一行第一列位置。

显示器显示的时序图如下图 2-22 所示:图 2-22 CRT 显示器时序(三)设计要求:本实验要完成的任务就是通过FPGA在显示器上显示一些条纹或图案,要求CRT显示器上能够显示横条纹、竖条纹以及棋盘格子图案。

实验中系统时钟选择时钟模块的12MHz,用一个按键模块的S1来控制显示模式,每按下一次,屏幕上的图案改变一次,依次为横条纹、竖条纹以及棋盘格子图案。

实验的输出就直接输出到 VGA 接口,通过CRT 显示器显示出来。

实验箱中用到的数字时钟模块、按键开关与 FPGA 的接口电路,以及数字时钟源、按键开关与 FPGA 的管脚连接在以前的实验中都做了详细说明,这里不在赘述。

VGA接口在实验系统的视频输入输出模块。

eda课程设计实验报告

eda课程设计实验报告

eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。

2. 学生能运用所学知识,设计并实现基本的数字电路。

3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。

技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。

2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。

3. 学生在小组合作中,提高沟通协调能力和团队协作能力。

情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。

2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。

3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。

课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。

学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。

教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。

EDA实验ADC采样和VGA显示

EDA实验ADC采样和VGA显示

杭州电子科技大学实验报告实验课程名称EDA技术实验序号 4实验内容ADC采样和VGA显示班级姓名学号指导教师二○一二年 12 月 21日实验目的与要求1.学习设计状态机对A/D转换器0809采样的控制电路;2.进一步熟悉VGA显示控制逻辑的verilog编写方法,学习图像显示程序设计;3.将ADC采样出的数据与VGA显示模结合,形成一个动态的VGA显示模块。

实验原理1.ADC0809是CMOS的8位A/D转换器,片内有8路模拟开关,可控制8个模拟量中的一个进入转换器中。

含所存控制的8路多路开关,输出由三态缓冲器控制,单5V电源供电。

如原理图中所示,START是转换启动信号,高电平有效;ALE是3位通道选择地址(ADDA、ADDB、ADDC)信号的锁存信号。

当模拟量送至某一输入端是,由3位地址信号选择,而地址信号由ALE锁存;EOC是转换情况状态信号,当启动转换约100us后,EOC产生一个负脉冲,以示转换结束;在EOC的上升沿后,若是输出是能信号OE为高电平,则控制打开三态缓存器,把转换好的8位数据结果输出值数据总线。

至此,ADC0809的一次转换结束。

2.对于普通的VGA显示器,其引出线共5个信号,即:R、G、B是三基色信号;HS是行同步信号;VS是场同步信号。

它的时序严格遵循“VGA工业标准”,即640×480×60Hz。

工业标准要求的频率:时钟频率:25.175MHz;行频:31469Hz;场频:59.94Hz。

VGA工业标准显示模式要求:行同步、场同步都为负极性,即同步头脉冲要求是负脉冲。

设计VGA图像显示控制要求注意两个问题:一个是时序驱动,这是完成设计的关键,时序稍有偏差,显示必然不正常;另一个是VGA信号的电平驱动。

实验内容ADC采样控制电路设计;VGA简单图像显示控制模块设计;ADC 采样数据在VGA上显示出动态模型。

实验截图原理图:引脚锁定:编译结果:实验总结:这次试验对于之前实验来讲,是相对比较综合的一个,不仅考验了我们对代码的编写能力,还考验了我们对于多个模块一起运行的综合能力,这更需要我们对实验的了解和熟知,同时要学会虚心请教,有利于自己更好地完成实验。

南昌大学EDA实验报告完整版

南昌大学EDA实验报告完整版

EDA实验报告姓名:曾维鋆学号:6102213863老师:杨鼎成班级:通信工程132班目录实验一半加器及全加器的设计 (3)实验二模可变计数器的设计 (6)实验三序列信号检测器的设计 (11)实验四交通灯控制器设计 (15)实验五多功能数字钟设计 (22)实验六出租车计费器的设计 (34)实验七16*16 点阵显示实验 (40)南昌大学实验报告学生姓名:曾维鋆学号:61002213863 专业班级:通信132班实验类型:□验证□综合█设计□创新实验日期:2015.10.19 实验成绩:实验一半加器及全加器的设计(一)实验目的1、熟悉实验装置和QuartusⅡ软件的使用;2、熟悉和掌握EDA设计流程;3、学习简单组合、时序电路的EDA设计;4、熟悉例化语句的应用。

(二)实验内容设计一个一位全加器。

先设计一个半加器h_adder.v作为预存文件。

然后设计顶层文件对h_adder.v文件进行调用,实现全加器的功能。

(三)实验原理由3个逻辑模块组成,其中两个为半加器,一个是或门。

真值表(四)实验步骤1.建立工作库文件夹,输入半加器和全加器的Verilog HDL代码并分别存盘。

a.半加器module h_adder(A,B,SO,CO);input A,B;3output SO,CO;assign SO=A^B;assign CO=A&B;endmoduleb.全加器module f_adder(ain,bin,cin,cout,sum);output cout,sum;input ain,bin,cin;wire net1,net2,net3;h_adder U1(ain,bin,net1,net2);h_adder U2(.A(net1),.SO(sum),.B(cin),.CO(net3));or U3(cout,net2,net3);endmodule2.选目标器件CycloneII中的EP2C35F672C8并编译。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

南昌大学实验报告
学生姓名:xx 学号:xx 专业班级:xx
实验类型:□验证□综合□设计□创新实验日期:2016.11.04 实验成绩:
实验五VGA 彩条信号发生器的设计
(一)实验目的
1.了解普通显示器正确显示的时序。

2.了解v erilog HDL 产生V GA 显示时序的方法。

3.进一步加强对F PGA 的认识。

(二)实验要求
1.在CRT显示器上显示横条纹、竖条纹、棋盘格子图案、以及带自己名字的南昌大
学校门图片。

2.用两个按键模块来控制显示模式。

3.用两个按键模块实现图片的上下左右移动。

(三)实验原理
VGA接口基本电路标准的VGA接口一个有15个接口(见图1),但其中真正用到的就5个脚,HSYNC是行同步信号,VSYNC是场同步信号,同步信号就是为了让VGA显示器扫描像素点数据,vga_r、vga_g、vga_b为三原色信号。

图1
VGA的接口时序如图2所示,场同步信号VSYNC在每帧数据开始的时候产生一个固定宽度的低脉冲,行同步信号HSYNC在每行开始的时候产生一个固定宽度的低脉冲,数据在某些固定的行和列交汇处有效。

图2
本实验我们用的是800*600显示器吗,刷新频率为60Hz,用50MHz晶振的FPGA芯片驱动设计,表1为其脉冲技术表。

表1
首先行同步信号在某个系统时钟上升沿到来时被拉低120个脉冲宽度后被拉高,经过67个脉冲,DATA数据有效,即显示器开始扫描800个列像素点,扫描完成到下一次行同步信号再次被拉低,中间经过52个时钟脉冲。

扫描一行需要的数据帧长为1039。

而场同步信号的扫描方式类似于上面的步骤,在某个时钟到来时被拉低6个脉冲宽度继而被拉高,拉高后延迟25个脉冲周期行同步信号被拉
微处理器与可编程器件应用2012.12低,这样开始扫面每行的像素。

完成本次扫描后场同步信号再次被拉低重复上述过程。

因为刷新频率为60 Hz,所以每秒场同步信号会被拉低60次。

(四)核心代码
按键控制图片移动:
always @ (posedge CLK_50M or negedge RST_N)
if(!RST_N)
addr<=0;
else if(valid)
begin
if((xpos>mode_x_cnt&&xpos<mode_x_cnt+200)&&(ypos>mode_y_cnt&ypos<mode_y _cnt+149))
addr<=(ypos-mode_y_cnt)*200+(xpos-mode_x_cnt);
else addr<=0;
end
else addr<=0;
(五)管脚分配
(六)下载测试。

下载到实验箱上测试,一次上推、下推k1,k2,显示器上会出现横向彩色条纹,纵向彩色条纹,黑白棋盘条纹,以及南昌大学校门图片。

按键s1,s2可控制图片的上下左右移动。

(七)实验小结
本实验用到将图片变为mif格式存储到rom中,以及VGA显示的原理,刚开始做的时候有点慌张,查了很多资料,学习到不少知识。

相关文档
最新文档