基于Quartus II的电路设计过程图文详解

合集下载

QUARTUSII应用向导PPT课件

QUARTUSII应用向导PPT课件
QuartusII 的
一、 基本设计流程
1 建立工作库文件夹和编辑设计文件
图1 选择编辑文件的语言类型,键入源程序并存盘
2 创建工程
图2 利用“New Preject Wizard”创建工程counter
2 创建工程 图3 将所有相关的文件都加入进此工程
2 创建工程
图4 选择目标器件EPM7128SLC84-15
配置文件下载
图 选择编程下载文件
配置文件下载
图 加入编程下载方式
配置文件下载
图 双击选中的编程方式名
配置文件下载
图 ByteBlasterII编程下载窗
写在最后
经常不断地学习,你就什么都知道。你知道得越多,你就越有力量 Study Constantly, And You Will Know Everything. The More
3 编译前设置
图5 打开settings 选项
图6 可修改相关设置项
4 全程编译
图7 全程编译后出现报错信息
5 时序仿真
图8 选择编辑矢量波形文件
5 时序仿真
图9 波形编辑器
5 时序仿真
图10 设置仿真时间长度
5 时序仿真
图11 vwf激励波形文件存盘
5 时序仿真
图12 向波形编辑器拖入信号节点
6 应用RTL电路图观察器
6 应用RTL电路图观察器
图22 counter工程的RTL电路图
引脚锁定
图23 GW48实验系统模式5实验电路图
引脚锁定
2 引脚设置和下载
1 引脚锁定
图24 Assignment Editor编辑器
引脚锁定
图25 两种引脚锁定对话框
图26 两种引脚锁定对话框

QuartusII实验过程示范

QuartusII实验过程示范

QuartusII实验过程⽰范实验⼆⼗进制计数器实验该实验将使⽤Verilog 硬件描述语⾔在DE2-70 开发平台上设计⼀个基本时序逻辑电路——1 位⼗进制计数器。

通过这个实验,读者可以了解使⽤Quartus ⼯具设计硬件的基本流程以及使⽤Quartus II 内置的⼯具进⾏仿真的基本⽅法和使⽤SignalTap II 实际观察电路运⾏输出情况。

SignalTap II 是Quartus ⼯具的⼀个组件,是⼀个⽚上的逻辑分析仪,可以通过JTAG 电缆将电路运⾏的实际输出传回Quartus 进⾏观察,从⽽省去了外界逻辑分析仪时的很多⿇烦。

实验步骤3.1建⽴⼯程并完成硬件描述设计1. 打开Quartus II ⼯作环境,如图3-1 所⽰。

图3-1 Quartus II⼯作环境界⾯2. 点击菜单项File->New Project Wizard 帮助新建⼯程。

参看图3-2。

图3-2 选择New Project Wizard打开Wizard 之后,界⾯如图3-3 所⽰。

点击Next,如图3-3。

第23 页共208 页图3-3 New Project Wizard界⾯3. 输⼊⼯程⼯作路径、⼯程⽂件名以及顶层实体名。

这次实验会帮助读者理解顶层实体名和⼯程名的关系,记住⽬前指定的⼯程名与顶层实体名都是Counter10,输⼊结束后,如图3-4 所⽰。

点击Next。

图3-4输⼊设计⼯程信息4. 添加设计⽂件。

界⾯如图3-5 所⽰。

如果⽤户之前已经有设计⽂件(⽐如.v ⽂件)。

那么再次添加相应⽂件,如果没有完成的设计⽂件,点击Next 之后添加并且编辑设计⽂件。

图3-5添加设计⽂件5. 选择设计所⽤器件。

由于本次实验使⽤Altera 公司提供的DE2-70 开发板,⽤户必须选择与DE2-70 开发板相对应的FPGA 器件型号。

在Family 菜单中选择Cyclone II,Package 选FBGA,Pin Count 选896,Speed grade 选6,确认Available devices 中选中EP2C70F896C6,如图3-6。

EDA设计流程及其工具QUARTUSII快速设计指南-文档资料-PPT文档资料

EDA设计流程及其工具QUARTUSII快速设计指南-文档资料-PPT文档资料
assignment)
六. 编译和综合(compilation and synthesis) 七. 仿真(simulation) 八. 编程与配置(program and configuration)
安装步骤
1. 下载免费版本 2. 安装 3. 申请许可文件(License File) 4. 设置许可文件(License File) 5. 运行
将设计文件加入项目中
电源估算文件生成 顶层设计文件设置
Assignments
菜单
器件和引脚指配 编译设置
Processing菜单->
启动编译
启动仿真
Tools菜单->
看RTL电路图 器件编程
许可文件设置
设计输入(design input)
1. 设计输入步骤
I. 建立项目文件
File->New Project Wizard
主要输出文件类型(files type)
Programmer Object File 编程文件 .pof
SRAM Object File
配置文件 .sof
Pin-Out File
引脚输出文 .pin 件(可用于 核对硬件连 接关系)

仿




Quartus II主菜单
File菜单
Project菜单
2. 一个设计为一个Project, 所有 Project的内容包含
在一个项目文件中。
3. 在设计开始时必须指定创建一个Project文件 4. Quartus II的项目文件扩展名为“.qar”
主要输入文件类型(files type)
Quartus II Project File 设

QuartusII设计流程

QuartusII设计流程

电 源 开 关
K1
散 热 器
源 电 测 检
C38
口 接 标 鼠
FUSE ByteBlasterMV
口 接 路 电 示 指 式 模
50M晶 振
码 数 8
码 数 7
码 数 6
码 数 5
码 数 4
码 数 3
码 数 2
码 数 1
D8
D7
D6
D5
D4
D3
D2
D1 S1
器 声 扬
计 率 频
口 接 载 下 线 在
结构模式 NO.1 。适用于作加法器、减法器、比较周期计,计数器等等
SPEAKER
结构模式NO.5。


(1) 结构图NO.0此电路可用于设计频率计、周期计、计数器等。 (2) 结构图NO.1:适用于作加法器、减法器、比较器或乘法器等。 (3) 构图NO.2:可用于作VGA视频接口逻辑设计,或使用数码管8至数 码管5共4个数码管作七段显示译码方面的实验;
2、四个开关控制一盏灯的逻辑电路 NO.5
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sxy is port(k0,k1,k2,k3:in std_logic; Dout:out std_logic ); end entity sxy; architecture art of sxy is signal k:std_logic_vector(3 downto 0); begin k<=k3&k2&k1&k0; process(k0,k1,k2,k3) begin case k is when "0000" =>Dout<='0'; when "0001" =>Dout<='1'; when "0011" =>Dout<='0'; when "0010" =>Dout<='1'; when "0110" =>Dout<='0'; when "0111" =>Dout<='1'; when "0101" =>Dout<='0'; when "0100" =>Dout<='1'; when "1100" =>Dout<='0'; when "1101" =>Dout<='1'; when "1111" =>Dout<='0'; when "1110" =>Dout<='1'; when "1010" =>Dout<='0'; when "1011" =>Dout<='1'; when "1001" =>Dout<='0'; when "1000" =>Dout<='1'; when others =>Dout<='X'; end case; end process; end architecture art;

QuartusII原理图设计方法

QuartusII原理图设计方法

II设计流程介绍
2)选择项目存放目录、填写项目名称,注意项目顶层设计实 体名称必须和项目名称保持一致。
工程项目目录 项目名称
项目顶层设计实体名称
图2.3
工程项目基本设置P39
§ 2.1
Quartus II原理图输入法
一、Quartus II设计流程介绍 3)完成上述操作后,按Next按钮将会弹出加入文件对话框,如图 2.4所示。
§ 2.1
Quartus II原理图输入法
2.编辑输入原理图文件
3)设定各输入、输出引脚名
双击任意一个input元件,将会弹出图2.25所示的引脚属性编辑对话框
图2.25 引脚属性编辑对话框
§ 2.1
Quartus II原理图输入法
2.编辑输入原理图文件
3)设定各输入、输出引脚名
编辑好所有引脚后保存
§ 2.1
Quartus II原理图输入法
Quartus II设计软件界面如图1所示
图1
Quartus II 软件界面
§ 2.1
Quartus II原理图输入法
一、Quartus II设计流程介绍 与Max+plusⅡ软件一样, Quartus II软件的开发流程也概括为设计 输入、设计编译、设计仿真、和设计下载等过程,下面分别加以描述。 1.新建一个工程 利用Quartus II提供的新建工程指南建立一个工程项目。
实验二 QuartusⅡ原理图输入设计法
QuartusⅡ原理图输入设计法学习:
1. 建立工程项目(工程目录、名称和选择合适器件)
2. 编辑设计图形文件(放置元件、连线、设定输入输出管脚名称)
3. 编译设计图形文件(检查电路是否有错误)
4. 时序仿真设计文件(得到仿真波形验证设计结果)

基于QUARTUSII图形输入电路的设计

基于QUARTUSII图形输入电路的设计

二、实验原始数据记录1.实验现象当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1KHZ,按下矩阵键盘的某一个键,则在数码管上显示对应的这个键标识的键值,当再按下第二个键的时候前一个键的键值在数码管上左移一位。

按下“*”键则在数码管是显示“E”键值。

按下“#”键在数码管上显示“F”键值。

2.实验图片记录湖南科技大学物理与电子科学学院专业实验报告实验课程:FPGA 实验原理实验项目:基于QUARTUSII 图形输入电路的设计专业:物理与电子科学学院班级:电子信息科学与技术3班姓名:马竞怡学号:1308020328实验日期:年月日实验报告三、实验内容及步骤1.实验内容在本实验中,用三个拨动开关来表示三八译码器的三个输入(A、B、C);用八个LED 来表示三八译码器的八个输出(D0-D7)。

通过输入不同的值来观察输入的结果与三八译码器的真值表(表1-1)是否一致。

实验箱中的拨动开关与FPGA 的接口电路如下图1-1所示,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。

其电路与FPGA 的管脚连接如表1-2所示输入输出2.实验步骤1)建立工程文件,建立图形设计文件2)对设计文件进行编译,管脚分配,对设计文件进行仿真3)从设计文件到目标器件的加载编译一次,以使管脚分配生效。

4)用下载电缆通过JTAG 口将对应的sof 文件加载到FPGA 中。

观察实验结果是否与自己的编程思想一致。

实验预习报告一、实验目的及要求:1)通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。

2)初步了解QUARTUSII 原理图输入设计的全过程。

3)掌握组合逻辑电路的静态测试方法。

二、实验原理3-8译码器三输入,八输出。

当输入信号按二进制方式的表示值为N 时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。

因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合译码器不需要像编码器那样用一个输出端指示输出是否有效。

QuartusII开发原理图+

QuartusII开发原理图+

2.1 Quartus Ⅱ软件简介
➢ 输出系统测试组件。 ➢ 输出生成系统基于存储器映射和组成的
定制软件开发套件(SDK)。 SOPC Builder使设计者能够集中精力 在用户逻辑设计上,无需手工完成系统 集成任务从而提升了系统性能。
2.1 Quartus Ⅱ软件简介
3.在设计周期的早期就对IO引脚进行 分配和确认 QuartusⅡ软件可以进行预先的I/
2.2.2 用原理图方法设计2-4译码器
图2.14 摆放好所有元器件 (3)连接各元器件并命名,有节点标识
2.2.2 用原理图方法设计2-4译码器
原理图和图表模块编辑时所用到的工 具按钮,如图2.15所示。熟悉这些工具的 基本性能,可以大大提高设计速度。
图2.15 编辑工具按钮
2.2.2 用原理图方法设计2-4译码器
2.2.2 用原理图方法设计2-4译码器
图2.9 器件类型设置
2.2.2 用原理图方法设计2-4译码器
(4) 结束设置 单击图2.8中的“Next”按钮后进入
最后确认的对话框,如图2.9所示。从图 中可以看到建立的工程名称、选择的器 件等信息,如果无误的话,单击 “Finish”按钮,出现如图2.10所示的 窗口,在资源管理窗口中可以看到新建 的名称“2_4decoder”工程。
图2.22 列出输入/输出节 点
2.2.2 用原理图方法设计2-4译码器
(3) 在图2.22界面中单击“>>”按钮, 则将所有输入/输出复制到右边的一侧。也 可以只选择其 中的一部分, 根据情况而定, 如图2.23所示。
图2.23 选择输入/输出节点
2.2.2 用原理图方法设计2-4译码器
(4) 在图2.23界面中单击“OK”按钮 后,返回“Inter Node or Bus”对话框。 此时,在“Name”和“Type”栏里出现了 “Multiple Items”,如图2.24所示。

QuartusII及其原理图设计

QuartusII及其原理图设计
1、需要5GB空间 2、需要关闭杀毒软件(所以最好是断网安装) 3、安装过程中选择路径中最好不要有中文,下 划线等
QuartusII及其原理图设 计
3
QUARTUS II 设计开发流程
QuartusII及其原理图设 计
4
QUARTUS II 文件格式介绍
QuartusII及其原理图设 计
5
QUARTUS II –图表和原理图编辑器
QuartusII及其原理图设 计
25
QUARTUS II –原理图设计例子
QuartusII及其原理图设 计
26
QUARTUS II –原理图设计例子
QuartusII及其原理图设 计
27
QUARTUS II –原理图设计例子
QuartusII及其原理图设 计
28
QUARTUS II –原理图设计例子
QuartusII及其原理图设 计
41
编译注意
要查找器件的资料,确保管脚定义,及其管脚工作状态 注意总线的画法和定义
注意同步清零和异步清零的方法
QuartusII及其原理图设 计
42
QUARTUS II –习题一
1-3 基于Quartus II软件,用部分积右移方式设计实现一个 4位二进制乘法器,选择合适的器件,画出电路连接
QuartusII及其原理图设 计
47
QUARTUS II –习题一
1-9 用D触发器构成按循环码(000-001-011-111-101100-000)规律工作的六进制同步计数器。 1-10 用74194、74273、D触发器等器件组成8位串入并 出的转换电路,要求在转换过程中数据不变,只有当8位一 组数据全部转换结束后,输出才变化一次。

EDA设计流程及其工具_QUARTUS_II_快速设计指南(PPT69页)

EDA设计流程及其工具_QUARTUS_II_快速设计指南(PPT69页)
If you turn on Auto usercode, this option is dimmed to indicate that it is not available.
This option is available for all Altera® devices supported by the Quartus® II software except FLEX® 6000 devices.
将设计文件加入项目中
电源估算文件生成 顶层设计文件设置
Assignments
菜单
器件和引脚指配 编译设置
Processing菜单->
启动编译
启动仿真
Tools菜单->
看RTL电路图 器件编程
许可文件设置
设计输入(design input)
1. 设计输入步骤
I. 建立项目文件
File->New Project Wizard
1. 建立“.VWF”文件
File->New->Other Files->Vector Waveform file
2. 设置仿真的时间分辨率和仿真时长
Edit->End Time Edit->Grid Size
3. 选择 仿真类型(Function & Timing) 4. 定义输入波形 5. 启动仿真:Processing->Start Simulation 6. 观察仿真结果(输出信号的波形)

许可文件设置
项目(project )
1. Project内容:
All of the design files and other related files necessary for the successful compilation, simulation, and programming of a design

QuartusII原理图设计方法

QuartusII原理图设计方法

一、Quartus II设计流程介绍 6)显示由新建工程指南建立的工程文件摘要,在界面顶部标题栏 将显示工程名称和存储路径,如图2.7所示。
图2.7 新建工程摘要对话框
§ 2.1
二、编辑设计图形文件
1.建立原理图文件
Quartus II原理图输入法
图2.8 执行File-New…命令
§ 2.1
1.建立原理图文件
3、设置输入信号波形 先用鼠标左键单击并拖动鼠标选择要设置的区域,单击工具箱 中按钮Forcing High(1)则该区域变为高电平。
图2-45 设置后的波形
§ 2.1
四、时序仿真设计文件
Quartus II原理图输入法
4、进行功能仿真
设置输入信号后保存文件,文件名默认,执行 Processing-Start simulation命令,进行仿真
II设计流程介绍
2)选择项目存放目录、填写项目名称,注意项目顶层设计实 体名称必须和项目名称保持一致。
工程项目目录 项目名称
项目顶层设计实体名称
图2.3
工程项目基本设置P39
§ 2.1
Quartus II原理图输入法
一、Quartus II设计流程介绍 3)完成上述操作后,按Next按钮将会弹出加入文件对话框,如图 2.4所示。
图2-46执行 编译命令
§ 2.1
四、时序仿真设计文件
4、进行功能仿真
Quartus II原理图输入法
§ 2.1
五、生成元件符号
Quartus II原理图输入法
执行File-Create/Update-Create Symbol File for Current File命令 将本设计电路封装成一个元件符号,供以后在原理图编辑器下进行 层次设计时调用。

Quartus_II使用教程1和2 加详细例子,图形细解每一步

Quartus_II使用教程1和2 加详细例子,图形细解每一步

§2.3 相关技术基本知识与基本技能一、QuartusⅡ原理图输入法应用数字逻辑电路的基本知识,使用QuartusⅡ原理图输入法可非常方便地进行数字系统的设计。

应用QuartusⅡ原理图输入法,还可以把原有的使用中示规模的通用数字集成电路设计的数字系统移植到FPGA或CPLD中。

下面以一个二人表决器的设计为例说明QuartusⅡ原理图输入法的使用方法。

(一)建立工程文件夹1.新建一个文件夹作为工程项目目录首先在计算机中建立一个文件夹作为工程项目目录,此工程目录不能是根目录,比如D:,只能是根b录下的b录,比如D:\EDA _book\code\Chapter3\BiaoJueQi。

下一页§2.3 相关技术基本知识与基本技能2.建立工程项目运行Quartus Ⅱ软件,执行File=>New Project Wizard 命令,建立工程,如图2-17所示。

在图2-18界面中单击Next按钮。

在所弹出的图2-19 New Project Wizard对话框中,填写Directory,Name, Top-Level Entity等项目。

其中第一、第二、第三个文本框分别是工程项目目录、项目名称和项目顶层设计实体的名称。

单击Next按钮,出现添加工程文件的对话框,如图2-20所示。

若原来己有文件,可选择相应文件,这单直接单击Next进行下一步,选择FPGA器件的型号,如图2-21所示。

下一页上一页§2.3 相关技术基本知识与基本技能在Family下拉框中,根据需要选择一种型号的FPGA,比如Cyclone系列FPGA。

然后在“Available devices:”中根据需要的FPGA 型号选择FPGA型号,比如“EP1C3T144C8”,注意在Filters一栏中选中“Show Advanced Devices”以显示所有的器件型号。

再单击Next按钮,出现如图2-22所示对话框。

FPGA设计基础基于QuartusII的FPGA设计基本流程PPT课件

FPGA设计基础基于QuartusII的FPGA设计基本流程PPT课件

2020/10/12
14
4 综合
图 4.1 综合设计流程
2020/10/12
15
5 适配(Fitter)
适配过程执行布局布线功能 。Fitter 使用由Analysis & Synthesis建立的数据 库,将工程的逻辑和时序要求与器件的可用资源相匹配。它将每个逻辑功能分配 给最佳逻辑单元位置,进行布线和时序分析,并选定相应的互连路径和引脚分配。
约束将对后序的综合与适配过程产生控制与影响。
2020/10/12
图 6.1 约束和分配输入流程图 17
6.1约束 – Settings对话框
使用【Assignments】菜单下的Settings 对话框,可以设置一般工程范围的选项以及综 合、适配、仿真和时序分析选项。如: 修改工程设置:为工程和修订信息指定和查看当前顶层实体;从工程中添加和删除文 件;指定自定义的用户库;为封装、引脚数量和速度等级指定器件选项;指定移植器 件。 指定 EDA 工具设置: 为设计输入、综合、仿真、时序分析、物理综合以及相关工具选 项指定 EDA 工具。 指定编译过程选项:智能编译选项,在编译过程中保留节点名称,运行Assembler,以 及渐进式编译或综合,并且保存节点级的网表,导出版本兼容数据库,显示实体名称, 使能或者禁止OpenCore® Plus 评估功能,还为生成早期时序估算提供选项。 指定时序分析设置:为工程设置默认频率,定义各时钟的设置,延时要求和路径排除 选项以及时序分析报告选项。 指定PowerPlay Power Analyzer设置: 输入文件类型、输出文件类型和默认触发速率, 以及结温、散热方案要求、器件特性等工作条件。 后续6.1.1,6.1.2以及第七章中的设置。
分析完成后,可通过菜单【Tools】-【Netlist viewer】-【RTL Viewer】查看设计对应的寄存器传输级视图。

QuartusII操作入门——全加器设计

QuartusII操作入门——全加器设计

实验1 QuartusII操作入门——全加器设计友情提示:实验做完后请保存到您的U盘中,以便后续实验要用。

另外,请保存实验报告中要用到的屏幕截图。

1.1 实验目的熟悉使用QuartusII的基本操作方法,利用原理图输入设计方法设计1位全加器。

1.2 实验内容1位全加器原理如图1(A)所示,图1(B)是其逻辑符号图。

图1(A)原理图图1(B)符号图按照QuartusII基于逻辑符号图的设计方法,完成图1(A)的输入、编译、波形仿真。

1.3实验步骤:(1)启动QuartusII,为本设计建立一个工程的框架。

➢在QuartusII中,任何一项设计任务都是一项工程(PROJECT)。

点击“File / New Project Wizard”,弹出如下图对话框。

点击“Next”……➢接着,弹出如下图对话框。

✧在第一栏(工程的工作路径)中,输入一个路径及文件夹名。

本工程产生的各种设计文件将存放在该文件夹下。

注意,不要用中文作路径名和工程名。

✧在工程名称一栏中,输入工程名称。

此处将其命名为Fulladd。

✧在工程的顶层设计名一栏中输入顶层设计名。

注意,顶层设计名要与工程名相同。

➢点击“Finish”,完成建立工程的框架。

如果你输入的工作路径不存在,将弹出对话框,询问是否创建此工作路径。

点击“是”即可。

(2)在工程中加入顶层设计文件。

一个工程必须有一个顶层设计,在顶层设计中可以调用底层设计,从而形成一种逐层调用的层次结构。

点击“File/New”,弹出对话框。

选择“Block Diagram/Schematic File”,点击“OK”,于是新建了一个图形文件,其临时名称为Block1.bdf。

点击“File/Save as”,将其保存为“Fulladd.bdf”,如下图所示。

“Fulladd.bdf”就是顶层设计文件。

(3)在“Fulladd.bdf”中绘制逻辑原理图。

➢放置元件以放置一个异或门为例,双击编辑区空白处,弹出如下对话框,在“Name”栏输入xor ,点击“OK”。

EDA设计流程及其工具QuartusII快速操作指南(PPT69页)

EDA设计流程及其工具QuartusII快速操作指南(PPT69页)
的窗口中得到。
建议使用NIC ID申请许可文件,这样可避免格 式化硬盘造成的硬盘号更改。
2. 许可文件的申请是免费的,并通过电子邮件传给 申请人,
❖ 进入 Quartus II->Tools->License Setup->License file

许可文件设置
项目(project )
1. Project内容:
All of the design files and other related files necessary for the successful compilation, simulation, and programming of a design
2. 一个设计为一个Project, 所有 Project的内容包含
SRAM Object File
配置文件 .sof
Pin-Out File
引脚输出文 .pin 件(可用于 核对硬件连 接关系)
QuartusII 设计流程
设计
功能
时序
编程
构想
模拟
模拟
下载Biblioteka 创建项目 &选择器件
设计 正确
功能 正确
满足 需求
设计 输入
分析 综合
引脚 绑定
完全 编译
11
设计构想
• 分析设计要求,确定技术路线 • 设计模块划分 • 可否利用现成IP核? • 是否需要运用NIOS?
分析 综合
引脚 绑定
完全 编译
18
EDA设计流程及其工具QuartusII快速 操作指 南(PPT 69页)培 训课件 培训讲 义培训 ppt教 程管理 课件教 程ppt
12
创建项目 & 选择器件
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于Quartus II 7.2 的数字电路设计操作过程图解一.Quartus II 7.2 启动方法一、直接双击桌面上的图标,可以打开Quartus II 7.2 软件;方法二、执行:【开始】→【程序】→【Altera】→【Quartus II 7.2】→【Quartus II 7.2 TalkBack Install】菜单命令,可以打开软件。

启动软件后,若你的电脑没有连接到Internet互联网,会出现如下图所示的提示,提示你没有连接到Altera的官方网站,将无法获得更新的资源。

点击〖确定〗继续,因为这不影响软件的正常使用。

若你的电脑已经正常连接到Internet互联网,则在打开软件时就不会出现以上的提示,并且可以通过软件界面右下方的两个图标:,直接连接到Altera公司的官方网站,以便获取更多的信息和资源。

二.Quartus II 7.2软件界面Quartus II 7.2软件的默认启动界面如下图所示,由标题栏、菜单栏、常用工具栏、资源管理窗口、程序编译或仿真运行状态的显示窗口、程序编译或仿真的结果显示窗口和工程编辑工作区组成。

三.Quartus II 7.2软件使用1. 新建项目工程使用QuartusII7.2设计一个数字逻辑电路,并用时序波形图对电路的功能进行仿真,同时还可以将设计正确的电路下载到可编程的逻辑器件(CPLD、FPGA)中。

因软件在完成整个设计、编译、仿真和下载等这些工作过程中,会有很多相关的文件产生,为了便于管理这些设计文件,我们在设计电路之前,先要建立一个项目工程(New Project),并设置好这个工程能正常工作的相关条件和环境。

建立工程的方法和步骤如下:(1)先建一个文件夹。

就在电脑本地硬盘找个地方注意:文件夹的命名及其保存的路径中不能有中文字符。

(2)再开始建立新项目工程,方法如右图点击:【File】菜单,选择下拉列表中的【New Project Wizard...】命令,打开建立新项目工程的向导对话框。

如下图,出现第①个对话框,让你选择项目工程保存位置、定义项目工程名称以及设计文件顶层实体名称。

方法如下:第一栏选择项目工程保存的位置,方法是点击按钮,选择到刚才我们在第一步建立的文件夹。

如右图,第二栏(项目工程名称)和第三栏(设计实体名称)软件会默认为与之前建立的文件夹名称一致。

没有特别需要,我们一般选择软件的默认,不必特意去修改。

需要注意的是:以上名称的命名中不能出现中文字符,否则软件的后续工作会出错。

完成以上命名工作后,点击Next,进入下一步。

如下图对话框:计好的文件,就甭理他,跳过这一步,直接点Next,再进入下一步。

如下图对话框:这第③步的工作是让你选择好设计文件下载所需要的可编程芯片的型号,现在我们只做简单的电路设计和仿真,随便指定一个就可以了。

以后我们做课程设计或学习《可编程逻辑器件》这门课后,等熟悉了CPLD或FPGA器件以后再根据开发板的器件选择合适的器件型号。

点击Next,进入下一步。

如下图对话框:这第④步是让你选择第三方开发工具,我不需要,直接点击Next,进入下一步。

出现下图页面:以上页面显示刚才我们所做的项目工程设置内容的“报告”。

点击Finish,完成新建项目工程的任务。

(到此我们一个新的项目工程已经建立起来,但真正的电路设计工作还没开始。

由于QuartusII软件的应用都是基于一个项目工程来做的,因此无论设计一个简单电路还是很复杂的电路都必须先完成以上步骤,建立一个后缀为.qpf的Project File。

)2. 新建设计文件建立好一个新的项目工程后,接下来可以开始建立设计文件了。

QuartusII7.2软件可以用两种方法来建立设计文件,一种是利用软件自带的元器件库,以编辑电路原理图的方式来设计一个数字逻辑电路,另一种方法是应用硬件描述语言(如VHDL或Verilog)以编写源程序的方法来设计一个数字电路。

作为初学者,我们先学会用编辑原理图的方法来设计一些简单的数字逻辑电路。

原理图设计方法和步骤如下:(1)选择用原理图方式来设计电路如右图,从File菜单中选择【New…】命令,或直接点击常用工具栏的第一个按钮,打开新建设计文件对话框,如下图。

选择【Block Diagram/Schematic File】,点击OK,即进入原理图编辑界面。

(2)编辑原理图QuartusII7.2软件的数字逻辑电路原理图的设计是基于常用的数字集成电路的,要熟练掌握原理图设计,必须要认识和熟悉各种逻辑电路的符号、逻辑名称和集成电路型号。

因此努力学好《数字电子技术基础》是后续学习其他专业知识、掌握电路设计的基本条件。

下面我们举例用原理图设计方法设计一个“三输入表决器”电路。

电路的逻辑功能是:三人表决,以少数服从多数为原则,多数人同意则议案通过,否则议案被否决。

这里,我们使用三个按键代表三个参与表决的人,置“0”表示该人不同意议案,置“1”表示该人同意议案;两个指示灯用来表示表决结果,LED1 点亮表示议案通过,LED2 点亮表示议案被否决。

真值表如下:S1S2S3LED1LED20000100101010010111010001101101101011110设计方法和步骤如下:1)双击原理图的任一空白处,会弹出一个元件对话框。

在Name栏目中输入and2,我们就得到一个2输入的与门。

2)点击OK按钮,将其放到原理图的适当位置。

重复操作,放入另外两个2输入与门。

也可以通过右键菜单的Copy命令复制得到。

3)双击原理图的空白处,打开元件对话框。

在Name栏目中输入or3,我们将得到一个3输入的或门。

点击OK按钮,将其放入原理图。

4) 双击原理图的空白处,打开元件对话框。

在Name栏目中输入not,我们会得到一个非门。

点击OK按钮,将其放入原理图。

5)把所用的元件都放好之后,开始连接电路。

将鼠标指到元件的引脚上,鼠标会变成“十”字形状。

按下左键,拖动鼠标,就会有导线引出。

根据我们要实现的逻辑,连好各元件的引脚。

6)双击原理图的空白处,打开元件对话框。

在Name栏目中输入Input , 我们便得到一个输入引脚。

点击OK按钮,放入原理图。

重复操作,给我们的电路加上3个输入引脚。

7)双击输入引脚,会弹出一个属性对话框。

在这一对话框上,我们可更改引脚的名字。

我们分别给3个输入引脚取名in1、in2、in3。

8)双击原理图的空白处,打开元件对话框。

在Name栏目中输入output,我们会得到一个输出引脚。

点击OK按钮,放入原理图。

重复操作,给我们的电路加上两个输出引脚。

给两个输出引脚分别命名为led1、led2。

(到这里我们要设计的一个“三输入表决器”的电路原理图已经完成,接下来要做的工作是对设计好的原理图进行项目工程编译和电路功能仿真。

)3. 项目工程编译设计好的电路若要让软件能认识并检查设计的电路是否有错误,需要进行项目工程编译,QuartusII7.2软件能自动对我们设计的电路进行编译和检查设计的正确性。

方法如下:在【Processing】菜单下,点击【Start Compilation】命令,或直接点击常用工具栏上的按钮,开始编译我们的项目。

编译成功后,点击确定按钮。

4. 功能仿真仿真是指利用QuartusII软件对我们设计的电路的逻辑功能进行验证,看看在电路的各输入端加上一组电平信号后,其输出端是否有正确的电平信号输出。

因此在进行仿真之前,我们需要先建立一个输入信号波形文件。

方法和步骤如下:1)在【File】菜单下,点击【New】命令。

在随后弹出的对话框中,切换到【Other Files】页。

选中【Vector Waveform File】选项,点击OK按钮。

2)在【Edit】菜单下,点击【Insert Node or Bus…】命令,或在下图Name列表栏下方的空白处双击鼠标左键,打开编辑输入、输出引脚对话框。

3)在上图新打开的对话框中点击【Node Finder…】按钮,打开【Node Finder】对话框。

点击【List】按钮,列出电路所有的端子。

点击>>按钮,全部加入。

点击OK按钮,确认。

点击OK回到Insert Node or Bus对话框,再点击OK按钮,确认。

4)选中in1信号,在Edit菜单下,选择【Value => Clock…】命令。

或直接点击左侧工具栏上的按钮。

在随后弹出的对话框的Period栏目中设定参数为50ns,点击OK按钮。

5)in2、in3也用同样的方法进行设置,Period参数分别为 20ns 和 40ns 。

QuartusII软件集成了电路仿真模块,电路有两种模式:时序仿真和功能仿真,时序仿真模式按芯片实际工作方式来模拟,考虑了元器件工作时的延时情况,而功能仿真只是对设计的电路其逻辑功能是否正确进行模拟仿真。

在验证我们设计的电路是否正确时,常选择“功能仿真”模式。

6)将软件的仿真模式修改为“功能仿真”模式,操作方法如下图所示:7)选择好“功能仿真”模式后,需要生成一个“功能仿真的网表文件”,方法是如右图,选择【Processing】菜单,点击【Generate Functional Simulation Netlist】命令。

软件运行完成后,点击确定。

8)开始功能仿真,在【Processing】菜单下,选择【Start Simulation】启动仿真工具,或直接点击常用工具栏上的按钮。

仿真结束后,点击确认按钮。

观察仿真结果,对比输入与输出之间的逻辑关系是否符合电路的逻辑功能。

(到这里为止,我们基于QuartusII7.2软件的数字电路设计与仿真工作已经完成,但我们设计的电路最终还要应用可编程逻辑器件来工作,去实现我们设计的目的。

因此接下来,我们还要把设计文件下载到芯片中,使设计工作赋予实际。

)5、下载验证要将设计文件下载到硬件芯片中,我们事先一定要准备好一块装有可编程逻辑器件的实验板(或开发板)和一个USB下载工具,如下图我们自行开发设计的EDA-1数字电子技术实验板。

由于不同的可编程逻辑器件的型号及其芯片的引脚编号是不一样的,因此在下载之前,我们先要对设计好的数字电路的输入、输出端根据芯片的引脚编号进行配置。

1)检查项目工程支持的硬件型号在开始引脚配置之前,先检查一下我们在开始建立项目工程时所指定的可编程逻辑器件的型号与实验板上的芯片型号是否一致,假如不一致,要进行修改,否则无法下载到实验板的可编程逻辑器件中。

修改的方法如下:点击常用工具栏上的按钮,打开项目工程设置对话框,如下图:如上图方法,选好芯片型号后,点击OK,即修改完成。

修改完硬件型号后,最好重新对项目工程再编译一次,以方便后面配置引脚。

编译的方法与上面所叙一样,简单来说,只要再点击一下常用工具栏上的按钮,编译完成后,点击【确定】即可。

相关文档
最新文档