4位拨动开关控制数码管显示系统设计

合集下载

4位DIP开关控制数码管显示系统设计

4位DIP开关控制数码管显示系统设计

课程设计说明书课程名称:《单片机技术》院 (部):电子信息与电气工程学院学生姓名:学号:专业班级: 2010级自动化2班指导教师:2013年5月17 日课程设计任务书4位DIP开关控制数码管显示系统设计摘要:我做了一个以AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制一共阳极数码管显示系统的设计。

电路由电源电路、复位电路、时钟电路等组成。

它由5V直流电源供电,用拨动开关中的低四位作为输入,控制输出端数码管显示器的输出。

用汇编语言编写程序,系统能够实现如下功能:通电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字“0”~“F”。

关键词:AT89S52芯片;4位拨位开关;7805;七段共阳数码管目录1. 设计背景................................................ - 1 -1.1单片机设计背景...................................... - 1 -1.2设计目的............................................ - 1 -2.设计方案................................................. - 2 -2.1方案一.............................................. - 2 -2.2方案二.............................................. - 2 -3. 方案实施................................................ - 3 -3.1单片机基本结构...................................... - 3 -3.2硬件模块电路........................................ - 4 -3.3软件程序设计........................................ - 7 -4. 结果与结论............................................. - 10 -4.1结果............................................... - 10 -4.2结论............................................... - 10 -5. 收获与致谢............................................. - 12 -6. 参考文献............................................... - 13 -7. 附件................................................... - 14 -7.1元器件清单......................................... - 14 -7.2实物图............................................. - 15 -7.3电路仿真图......................................... - 17 -1. 设计背景1.1单片机设计背景电子器件的广泛应用使得单片机已经渗透到了我们生活的各个领域,硬件和软件相互联系,相辅相成。

拨码开关输入数码管显示实验

拨码开关输入数码管显示实验

综合课程设计实验报告班级:姓名:学号:11指导老师:实验名称:拨码开关输入数码管显示实验实验要求:1. 掌握数码管显示原理2. 掌握拨码开关工作原理3. 通过FPGA用拨码开关控制数码管显示实验目标:4位拨码开关分别对应4位数码管,拨动任意1位开关,对应的数码管将显示数字1,否则显示数字0。

实验设计软件Quartus II实验原理1.数码管显示模块电路原理图:如图所示,数码管中a,b,c,d,e,f,g,dp分别由一个引脚引出,给对应的引脚高电平,则对应引脚的LED点亮,故我们在程序中可以设定一个8位的二进制数reg【7:0】h,每一位对应一个相应的引脚输出,那么我们就可以通过对x的赋值,控制对应的8个LED亮灭的状态进行数字显示。

例如,如果我们显示数字2,则在数码管中,a、b、d、e、g亮,c、f、dp不亮,则显示的是数字2,即h=’b代表显示数字2。

2.拨码开关模块电路原理图:拨码开关有8个引脚,每个引脚对应于数码管的一个LED灯,当拨码开关的一个引脚是高电平时,则对应的数码管一个LED灯亮,其他7个LED等不亮。

通过此原理来实现数码管的LED灯亮暗情况从而实现数码管的数字显示。

例如当第一个拨码接通时,此时输入信号为8'b对应的数码管的输出信号为out=8'b,此时相当于数码管a,b,c,d,e,f,g亮,7段数码管全部显示,显示的数字为8。

程序代码module bomakaiguan(out,key_in,clk);assign p='b1111;output[7:0] out=8'b;input[7:0] key_in;input clk;reg[7:0] out;always @(posedge clk)begincase(key_in)8'b: out=8'b;8'b00000001: out=8'b01100000;8'b00000010: out=8'b;8'b00000100: out=8'b;8'b00001000: out=8'b01100100;8'b00010000: out=8'b;8'b00100000: out=8'b;8'b01000000: out=8'b;endcaseendendmodule。

4位拨动开关控制数码管显示系统设计

4位拨动开关控制数码管显示系统设计

4位拨动开关控制数码管显⽰系统设计务书设计题⽬4位拨动开关控制数码管显⽰系统设计学⽣姓名设计要求:1.电源电路具有电源开关及指⽰灯,有复位按键;2.⾼4位开关屏蔽;3.⽤4位拨码开关为输⼊,控制数码管显⽰器的输出;4.实现功能:通电复位后数码管全显即显“8”,数码管对应4位DIP开关的⼆进制输⼊显⽰⼗六进制全部字符即从“0”到“F”。

学⽣应完成的⼯作:1.了解单⽚机系统的设计⽅法,设计步骤;2.查找并收集相关资料书籍;3.完成硬件原理图设计;4.完成软件和流程图的设计;5.对系统进⾏仿真;6.焊接电路板,调试系统;7.认真撰写课程设计报告。

8.孙晓界同学主要负责软件设计参考⽂献阅读:[1] 张毅刚,彭喜元,彭宇. 单⽚机原理及应⽤[M]. 北京:⾼等教育出版社,2009.[2] 杜树春. 单⽚机C语⾔和汇编语⾔混合编程实例详解[M]. 北京:北京航空航天⼤学出版社,2006.[3] 童诗⽩,华成英. 模拟电⼦技术基础(第四版)[M]. 北京:⾼等教育出版社,2006.[4] 林志琦. 基于Proteus的单⽚机可视化软硬件仿真[M]. 北京:北京航空航天⼤学出版社,2006. ⼯作计划:5⽉6⽇:查阅相关资料,拟定⽅案;5⽉7⽇:进⾏⽅案论证,完善设计⽅案;5⽉8⽇:完成硬件设计;5⽉9⽇:设计程序流程图;5⽉10⽇:完成软件设计,并进⾏仿真和调试;5⽉13⽇:进⾏焊接;5⽉14⽇:烧写程序;5⽉15⽇:调试电路;5⽉16⽇:与辅导⽼师交流,写课程设计报告;5⽉17⽇:上交课程设计报告及实物。

任务下达⽇期:2013 年5⽉ 6 ⽇任务完成⽇期:2013 年5⽉17 ⽇指导教师(签名):学⽣(签名):4位拨动开关控制数码管显⽰系统设计摘要:⽤AT89S52单⽚机作为核⼼,利⽤晶振,共阳极数码管,7805,桥堆2w10等器件进⾏设计,由电源电路、复位电路、时钟电路、输⼊输出电路等设计⼀个控制电路。

利⽤汇编编写控制程序,程序使⽤查表法进⾏编写。

四位拨动开关控制数码管显示系统设计书

四位拨动开关控制数码管显示系统设计书

四位拨动开关控制数码管显示系统设计书1.设计背景单片机具有人机对话功能,开关,键盘是实现人机对话的主要输入设备,也是最常用的设备,通过它能发出各种控制指令和数据到单片机。

而二极管,数码管,LED显示器是常用的输出设备,单片机接受一系列指令到,执行一定功能后,可通过这些设备输出。

为了更好的掌握单片机的硬件特性以及用汇编语言进行编程设计,我们运用目前所学的知识,来设计了一个单片机最小系统——用拨码开关控制数码管显示系统。

2.设计方案2.1方案一使用单片机P1口,由4位DIP开关从P1口低四位输入,高四位输出,译码部分采用74LS247译码器,送往共阳极数码管显示。

本方案编程简单,占用I/O端口少,但电路设计较复杂,硬件增多,成本增高。

2.2方案二本方案的译码部分由单片机编程实现,P1口接共阳数码管,由4位DIP开关从P0口低四位输入,经软件译码,送往P1口,在数码管显示相应的数字。

由于译码部分采用了软件实现,省去了译码电路,成本降低,电路设计简单,但编程较方案一复杂,而且占用I/O端口多,占用系统资源。

综合考虑,确定采用方案二实现。

3.方案实施3.1输入输出电路设计该设计以AT89S52单片机为核心部件,AT89S52单片机有4个双向的8位并行I/0口,分别记为P0、P1、P2和P3口。

本次设计中主要使用P0作为输入口,P1作为输出口。

P0口:P0口为一个8位漏级开路双向I/O口,每个脚可吸收8TTL门电流。

当P1口的管脚第一次写1时,被定义为高阻输入。

P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL 门电流。

P1口管脚写入1后,被部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于部上拉的缘故。

quarter ii 4位数码管显示实验 (2)

quarter ii 4位数码管显示实验 (2)

贵州大学实验报告
学院:电气工程学院专业:测控技术与仪器班级:测仪131



理流水灯工作流程如上图所示,用逻辑电路控制8个LED灯,始终保持7亮1暗,在脉冲信号CP的推动下循环流动;将灯亮用1表示,灯灭用0表示,可写出流水灯的真值
表;
观察发现,3-8线译码器74LS138的逻辑真值表与其相同,因此采用74LS138作为主控逻辑器件;如果能够通过脉冲信号CP在74LS138的A2、A1、A0地址端产生连续的000、001、010……111→000……的地址信号,在74LS138输出端的8个LED灯即可产
1.通过QuartusII建立一个新项目;




2. 建立项目时选MAXII系列(family)的目标器件(devices)EPM240T100C5
3. 新建图形设计文件,调用相关元件,设计总体电路原理图,并编译通过;
4. 新建波形矢量文件,添加引脚端口并编辑激励波形,保存该文件并执行时序仿真,观察并分析仿真结果。

实验数据。

一个单片机4键控制四个数码管显示的程序或思路

一个单片机4键控制四个数码管显示的程序或思路
/*********************************************************
164串行输出段码:
P4.4 U164CP
P4.5 U164D
138输出位选
P4.3选通138
P4.0对应138A
P4.1对应138A
P4.2对应138A
*********************************************************/
Tags:,单片机,控制,数码
}
&= ~BIT3;
}
首先你要看你的数码管是哪类啊?是共阳极还是共阴极。如果你全部都是亮的话,而且是显示个8字的。那么你控制位选,可以改变数码管的显示个数。如果你想改变数码管显示的数字,就改变段选吧。其实你这个一接电源就亮着是有原因的,你接上电源时,单片机的输出端口P0,P1,P2,P3都是复位的。除了P0口外,其他都处于高电平输出。当然,你的板子上也是肯定有个反相器,使高电平变成低电平。例如你刚上电,单片机复位,你的单片机先是P1口输出高电平到数码管的段选,然后P2口输出高电平到反相器,然后再输出低电平到数码管的位选。这样数码管就亮着啦。(我举例的数码管是共阴极的)
[单片机][控制][数码ห้องสมุดไป่ตู้求一个单片机4键控制四个数码管显示的程序或思路
应该是P2.0-P2.3控制四个位选码 P2.0-P2.78个段选码
使用两个74HC573 锁存器 并另外用两个端口入P1.0 P1.1分别控制段选使能和位选使能 1^0 1^1 写程序时,先开位选dula=1 再送数P2=0xxx 关段选dula=0 位选操作如上
)
{
=0,j=0;
=0x0,=0;

四位拨动开关控制一位数码管显示

四位拨动开关控制一位数码管显示

课程设计说明书课程名称:通信电子线路设计题目:四位拨动开关控制一位数码管显示院系:电子信息与电气工程学院学生姓名:学号:专业班级:指导教师:2012年 5 月18 日课程设计任务书设计题目四位拨动开关控制一位数码管显示学生姓名所在院系电子信息与电气工程学院专业、年级、班设计要求:1.具有电源开关及指示灯,有复位按键;2.用DIP开关的低四位为输入,控制输出端数码管显示器的输出。

实现如下功能:上电后默认为“8”,调整4位DIP开关按二进制输入,按确定键后数码管显示对应的数字“0”-“F”。

学生应完成的工作:学生应完成的工作:1)通过单片机原理课程设计,使之较系统地、全面地掌握单片机应用系统的基本设计方法,设计步骤,熟悉和掌电路参数的计算。

2)多位同学共同研究设计出最佳方案。

3) 通过查阅手册和文献资料,培养学生分析和解决实际问题的能力与技巧。

4) 进一步熟悉软件的正确使用方法,原理图设计。

5) 学会撰写课程设计总结报告。

6) 培养严肃认真的工作作风和严谨的科学态度。

参考文献阅读:[1] 张毅刚.单片机原理及应用.北京:高等教育出版社,2009.11[2] 杜树春.单片机C语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6[3] 谢嘉奎.电子线路(第四版).北京:高等教育出版社,2004.[4] 臧春华.电子线路设计与应用.北京:高等教育出版社,2005.工作计划:5月7日至11日――――原理图设计 5月12日至13日――――程序设计5月14日至16日――――电路安装 5 月17日――――——电路调试5月18日――――设计验收、设计报告任务下达日期:2012年 5 月7 日任务完成日期:2012年 5 月18 日指导教师(签名):学生(签名):四位拨动开关控制一位数码管显示摘要:以AT89S52芯片为核心,辅以必要的电路,设计了一个简易的控制电路,它由5V直流电源供电,用DIP开关的低四位为输入,控制输出端数码管显示器的输出。

四人表决器

四人表决器

摘要本次设计的四人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。

表决时,与会议有关的人员只要按动各自表决器上“赞成”“反对”“弃权”的某一个按钮,荧光屏上即显示出表决结果。

在四人表决器中四个人分别用手指拨动开关SW1,SW2,SW3,SW4来表决自己的意愿,如果对某决议不同意,个人就把自己的指拨开关拨到高电平(上方),同意就把指拨开关拨到低电平(下方)。

有多少个人同意就有多少个LED灯亮;表决结果用数码管显示,如果决议通过那么数码管显示P;如果决议不通过那么数码管显示N。

关键词:指拨开关、数码显示器、LED第一章 方案设计首先根据设计任务的要求建立输入、输出变量、并列出真值表、然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并按实际选用逻辑门的类型修改逻辑表达式,根据简化后的逻辑表达式,用标准器件构建逻辑电路,最后用实验验证设计的准确性。

基本功能:实现四人表决器的基本功能,少数服从多数。

拓展功能:1、当有人同意表决时,相应的LED 灯亮。

2、当有三到四人同意时,数码管显示P ,表决通过。

否则数码管显示N 。

图1 方案设计流程图拨动开关输入表决器LED 灯数码管 蜂鸣器第二章 电路设计及工作原理分析2.1 逻辑变量赋值设四人的意见为变量A 、B 、C 、D ,表决结果为函数Y 。

对于变量A 、B 、C 、D 设同意为“1”;不同意为“0”。

对于函数Y ,设通过为“1”;不通过为“0”。

2.2 真值表表2-1 四人表决器真值表由表2-1得: ABCD D C AB CD B A BCD A Y +++=A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 01 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 111112.3 卡诺图CDAB 00 01 11 1000011110图2-1四人表决器卡诺图由图3-2得:)15,14,13,11,7(m ABC ACD BCD ABD Y ∑=+++=或2.4 逻辑电路图图2-2 四人表决器逻辑电路图0 0 0 0 0 0 1 0 0 1 1 1 01第三章电路仿真本设计中采用的是Proteus7.5仿真软件。

四位数字的电子锁电路数电课程设计

四位数字的电子锁电路数电课程设计

四位数字的电子锁电路设计1 四位数字的电子锁设计1.1电路原理系统框图图1 电路原理框图1.2 方案的比较1.2.1方案一总电路图:图2 方案一总电路图原理说明:由数字开关与译码器输入密码,按键输入触发接成环形计数器的移位寄存器,计数器记录密码输入个数来和控制各锁存器时钟端来使显示稳定,四位锁存器时钟开关按下,74LS175存储密码,之后4个锁存器依次检测四个等于信号的输出,若依次为一,则正确,否则错误。

计数器控制一次输入密码个数最多为四,超过则错误。

密码比较使用74LS85四位数值比较器级联,只能依次输入正确密码触发74ls74给信号输出正确密匙,输出结果驱动由传输门控制密码子正确是的开锁显示,正确密码则led灯亮解锁,反之蜂鸣器发出警报.1.1.2方案二总原理图:图3 方案二的总电路图原理说明:如上电路图所示,由数字开关与译码器输入密码,分配器和锁存器分配数据显示在数码管上,计数器记录密码输入个数来控制数据分配和控制各锁存器时钟端来使显示稳定,四位锁存器时钟开关按下,74LS175存储当前,密码比较使用74LS85四位数值比较器级联,输出结果驱动由传输门控制密码子正确是的开锁显示,以及密码错误的蜂鸣器报警。

1.3方案的选择两个方案相同之处:使用了编码器及反相器作为密码输入部分,用与非门进行电路输入错误信号是的封锁,密码检测部分都用了74ls85比较器用来对二次密码的检测和比较。

两方案制作都需要一定量芯片,制作成本较高;不同之处:方案一具备完备功能,满足实验全部要求,线路中用了网络标号做线路连接,使电路图简单美观,输入部分用了移位寄存器对输入信号移位已输入四位密码;方案二初步功能虽具备,但电路封锁后仍可改变末尾密码,有弊端,且整个电路图接线复杂,难制作出实物,用的是数据分配器对输入密码进行分配,74ls175对信号进行多次储存,计算复杂。

综合比较两方案,选择方案一为佳。

2整体设计方案的分析2.1输入单元电路电路图示:图4 输入单元电路图原理说明:信号输入数J1开关,经74ls147编码器及反相器向储存器传输转化为二进制数,同时有方向加载个八位与非门及74ls194移位寄存器进行封锁及移位,74ls161计数器计数对数字输入信号进行计数,开关space对寄存器预置数,初始值为1000,开关w打开切断寄存器清除端低电平,输出低电平,不进行数字移位而由检测装置移位检测。

arduino四位数码管的点 -回复

arduino四位数码管的点 -回复

arduino四位数码管的点-回复Arduino 是一款开源电子平台,被广泛应用于物联网、机器人和电子制作等领域。

其中,四位数码管是Arduino 上常用的一种显示设备,可以通过编程控制显示数字和字符。

在本文中,将详细介绍如何使用Arduino 来控制四位数码管的点亮。

首先,让我们了解一下四位数码管的基本结构和原理。

四位数码管由四个七段显示器组成,每个七段显示器可以显示从数字0 到9 和一些特殊字符。

每个七段显示器由七个发光二极管(LED)组成,分别对应显示数字的一段。

通过控制每个发光二极管的开关状态,可以显示不同的数字和字符。

为了操作四位数码管,我们需要连接其引脚到Arduino 的数字口。

一般来说,四位数码管的引脚包括共阳(共阳数码管)或共阴(共阴数码管)引脚、段选引脚以及其他控制引脚。

要正确连接四位数码管,可以参考其数据手册。

在本篇文章中,我们将以共阳数码管为例进行介绍。

接下来,我们需要下载并安装Arduino IDE,它是Arduino 的官方集成开发环境,可用于编写和上传代码到Arduino 板上。

安装完成后,打开Arduino IDE 并选择正确的开发板和端口。

在Arduino IDE 中,我们需要编写代码来控制四位数码管的显示。

在这个例子中,我们将展示如何显示数字1。

首先,我们需要定义并初始化与四位数码管连接的引脚。

例如,我们假设数码管的引脚连接到Arduino 的数字引脚2、3、4 和5。

int pinA = 2; 数码管的A 端口int pinB = 3; 数码管的B 端口int pinC = 4; 数码管的C 端口int pinD = 5; 数码管的D 端口接下来,我们在`setup()` 函数中设置这些引脚为输出模式:void setup() {pinMode(pinA, OUTPUT);pinMode(pinB, OUTPUT);pinMode(pinC, OUTPUT);pinMode(pinD, OUTPUT);}然后,在`loop()` 函数中编写代码来控制四位数码管的显示。

4位拨动开关控制数码管显示系统设计 (3)

4位拨动开关控制数码管显示系统设计 (3)

课程设计任务书4位DIP开关控制数码管显示系统设计摘要:以单片机AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。

电路由电源模块、复位模块、时钟模块、显示模块等。

它由5V直流电源供电,用拨动开关的低四位为输入,控制输出端数码管显示器的输出。

用编程语言编写程序,系统能够实现如下功能:上电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字或字母“0”-“F”。

关键词:4位拨动开关;单片机;共阳极数码管;编程语言目录1. 设计背景 (1)1.1单片机设计背景 (1)1.2设计目的 (1)2.设计方案 (2)2.1方案一 (2)2.2方案二 (2)2.3方案三 (3)3.方案实施 (3)3.1系统组成框图 (4)3.2输入输出电路设计 (4)3.3时钟电路与复位电路设计 (5)3.4电源电路设计 (6)3.5程序设计 (6)3.6仿真结果 (7)4.结果与结论 (9)4.1结果 (9)4.2结论 (9)5. 收获与致谢 (10)6. 参考文献 (10)7.附件 (11)7.1电路硬件原理图 (11)7.2实物图 (15)7.3软件程序 (16)7.4元器件清单 (17)1. 设计背景1.1单片机设计背景目前单片机渗透到我们生活的各个领域。

导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。

更不用说自动控制领域的机器人、智能仪表、医疗器械了。

因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。

1.2设计目的在理论学习的基础上,通过完成一个单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,能够增强我们理论联系实际的能力,进一步熟练相关专业基础知识的综合应用,提高实际动手能力和设计能力。

4位数码动态显示电路

4位数码动态显示电路

4位数码动态显示电路(VHDL)---4位数码管动态显示电路Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;----输入数据采用8421BCD编码方式----输入刷新频率要求100hz以上----输出代码为串行输出ENTITY scanseg4 ISPORT( CLKIN: in std_logic;date : in std_logic_vector(15 downto 0);---4位待显示的数据point: in std_logic_vector(3 downto 0);----小数点控制信号sdateout:out std_logic;-----串行输出显示码clkout :out std_logic;-----串行输出时钟segweixh:out std_logic_vector(3 downto 0);-----数码管位选控制信号,低电平有效);END scanseg4;Architecture beh of scanseg4 ISsignal datebuf:std_logic_vector(3 downto 0);----显示数据缓存寄存器signal datein :std_logic_vector(15 downto 0);signal cnt :std_logic_vector(1 downto 0);------动态扫描计数器signal segma :std_logic_vector(6 downto 0);-----数码管段码输出,采用共阴数码管编码方式signal pointout: std_logic------小数点控制输出signal cnt8 :std_logic_vector(3 downto 0);------串行码输出个数计数器signal clkweix:std_logic:='0';------字动态扫描计数器输入时钟信号,为串出计数到信号signal temp :std_logic_vector(7 downto 0);---数码管8位编码寄存器begindatein<=date;------读取要显示的数据clkout<=clkin;-----显示模块的输入时钟与串行驱动电路的时钟同步temp <=segma&point;process(clkin) --------串行输出计数器模块,产生扫描时钟beginif clkin'event and clkin='1' thencnt8<=cnt8+"01";end if;if cnt8="111"thenclkweix<='1';elseclkweix<='0';end process;process(clkweix) --------数码管扫描计数器模块beginif clkweix'event and clkweix='1' thencnt<=cnt+"01";end if;end process;process(clkweix)-----驱动模块beginif clkweix'event and clkweix='1' thencase cnt iswhen"00" =>datebuf<= datein(3 downto 0);pointout<= point(0);segweixh<= "1110";when"01" =>datebuf<= datein(7 downto 4);pointout<= point(1);segweixh<= "1101";when"10" =>datebuf<= datein(11 downto 8); pointout<= point(2);segweixh<= "1011";when"11" =>datebuf<= datein(15 downto 12); pointout<= point(3);segweixh<= "0111";when others =>datebuf<= datein(3 downto 0);pointout<= point(0);segweixh<= "1111";end case;end if;end process;process(datebuf)-----译码模块begincase datebuf iswhen"0000" =>segma<= "1111110";---显示0when"0001" =>segma<= "0000110";---显示1when"0010" =>segma<= "1101101";---显示2when"0011" =>segma<= "1111001";---显示3when"0100" =>segma<= "0110011";---显示4when"0101" =>segma<= "1011011";---显示5when"0110" =>segma<= "1011111";---显示6when"0111" =>segma<= "1110000";---显示7when"1000" =>segma<= "1111111";---显示8when"1001" =>segma<= "1111011";---显示9when others =>segma<= "1111111";end case;end process;process(clkin)---单个字并并转串beginif clkin'event and clkin='1' thentemp<=temp(6 downto 0)& pointout;-----实现左移操作 sdateout<=temp(7);end if;end process;end beh;。

4位拨动开关控制数码管显示系统设计

4位拨动开关控制数码管显示系统设计

务书设计题目4位拨动开关控制数码管显示系统设计学生姓名设计要求:1.电源电路具有电源开关及指示灯,有复位按键;2.高4位开关屏蔽;3.用4位拨码开关为输入,控制数码管显示器的输出;4.实现功能:通电复位后数码管全显即显“8”,数码管对应4位DIP开关的二进制输入显示十六进制全部字符即从“0”到“F”。

学生应完成的工作:1.了解单片机系统的设计方法,设计步骤;2.查找并收集相关资料书籍;3.完成硬件原理图设计;4.完成软件和流程图的设计;5.对系统进行仿真;6.焊接电路板,调试系统;7.认真撰写课程设计报告。

8.孙晓界同学主要负责软件设计参考文献阅读:[1] 张毅刚,彭喜元,彭宇. 单片机原理及应用[M]. 北京:高等教育出版社,2009.[2] 杜树春. 单片机C语言和汇编语言混合编程实例详解[M]. 北京:北京航空航天大学出版社,2006.[3] 童诗白,华成英. 模拟电子技术基础(第四版)[M]. 北京:高等教育出版社,2006.[4] 林志琦. 基于Proteus的单片机可视化软硬件仿真[M]. 北京:北京航空航天大学出版社,2006. 工作计划:5月6日:查阅相关资料,拟定方案;5月7日:进行方案论证,完善设计方案;5月8日:完成硬件设计;5月9日:设计程序流程图;5月10日:完成软件设计,并进行仿真和调试;5月13日:进行焊接;5月14日:烧写程序;5月15日:调试电路;5月16日:与辅导老师交流,写课程设计报告;5月17日:上交课程设计报告及实物。

任务下达日期:2013 年5月 6 日任务完成日期:2013 年5月17 日指导教师(签名):学生(签名):4位拨动开关控制数码管显示系统设计摘要:用AT89S52单片机作为核心,利用晶振,共阳极数码管,7805,桥堆2w10等器件进行设计,由电源电路、复位电路、时钟电路、输入输出电路等设计一个控制电路。

利用汇编编写控制程序,程序使用查表法进行编写。

4位DIP开关控制数码管显示系统设计

4位DIP开关控制数码管显示系统设计

4位DIP开关控制数码管显示系统设计摘要:本次课程设计以AT89S52单片机为电路的核心,通过7805、桥堆2W10、四位DIP开关、时钟晶振12M、四脚按键等元件组成直流稳压电源和显示控制电路。

然后用汇编语言编写数码管控制程序,通过写入单片机实现四位DIP控制共阳极数码管显示。

在本次设计中,控制系统由+5V直流电源供电,而+5V直流电由直流稳压电源提供。

利用拨动开关的低四位输入控制信号,每一位拨码开关接通时为“1”,断开时为“0”,以四位二进制的方式控制数码管显示器的输出,拨码范围为“0000”-“1111”,对应数字分别为“0”-“F”,而按下复位按键后,程序复位到初始状态,此时数码管全亮,即显示数字“8”的字样。

关键词:AT89S52芯片;四位DIP开关;共阳极数码管;复位按键。

目录1.设计背景 (1)1.1单片机设计背景 (1)1.2设计目的 (1)2. 设计方案 (1)2.1方案一 (1)2.2方案二 (1)3. 方案实施 (2)3.1单片机基本结构 (2)3.2硬件模块电路 (3)3.3软件程序设计 (5)3.4 软件模拟 (5)3.5 PCB制作 (6)3.6 安装与调试 (7)4. 结果与结论 (7)4.1 结果 (7)4.2 结论 (8)5. 收获与致谢 (9)6. 参考文献 (9)7. 附件 (10)7.1 程序 (10)7.2 电路原理图 (12)7.3 PCB布线图 (14)7.4 元器件清单 (15)7.5实物图 (16)1.设计背景1.1单片机设计背景单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计数器等功能,可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路,集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域广泛应用。

单片机控制的四位数码管动态显示编程

单片机控制的四位数码管动态显示编程

时代农机TIMES AGRICULTURAL MACHINERY第46卷第2期Vol.46No.22019年2月Feb.20192019年第2期摘要:应用仿真软件,设计“2018”动态显示程序并进行计算机仿真。

关键词:程序;动态显示;单片机控制作者简介:谭明良(1998-),男,辽宁大连人,大学本科,主要研究方向:机电一体化技术。

通讯作者:王伟(1957-),男,山东菜州人,硕士,副教授,主要研究方向:机电一体化技术、机床电气与PLC 技术。

单片机控制的四位数码管动态显示编程谭明良,王伟(沈阳工学院,辽宁抚顺113122)1软件介绍与动态说明PROTEUS 软件是英国Lab Center Electronics公司出版的EDA (电子设计自动化)工具软件。

KeilC51是美国Keil Software 公司出品的51系列兼容单片机C 语言软件开发系统。

两软件的联合调试是单片机仿真的基础。

静态显示就是用单片机I/O 口输出固定的数值,每个数码管的驱动电平不会改变,一般用来显示固定的数值。

动态显示,也称扫描显示,是将所有数码管的8段即a~dp 段都连接在一起,分时使各个数码管的位选端有效,也就是在某一个时刻只能有1个数码管在显示。

由于人眼具有“视觉暂留”作用(通常在20ms 左右),因此只要使多个数码管显示的时间间隔较短,人眼一般是感绝不到数码管熄灭的,因此可以形成多个数码管在“静态显示”的假象。

动态显示优点是占用的I/O 接口较少,但需要消耗一定的时间。

2动态显示编程由四位数码管用动态显示“2018”,原理是由单片机的一个I/O 口输出显示段码,另一个I/O 口输出位码。

程序如下:#include<reg52.h>delay ();#define uchar unsigned char P2=0x00;#define uint unsigned intP2=a [2];uchar code a [4]={0x7f ,0x06,0x3f ,0x5b};P1=b [2];uchar code b [4]={0xfe ,0xfd ,0xfb ,0xf7};delay ();uint time ;P2=0x00;void delay ();P2=a [3];void main ()P1=b [3];{P0=0x00;delay ();while (1)P2=0x00;{P2=a [0];}P1=b [0];}delay ();void delay ()P2=0x00;{time=1300;P2=a [1];while (time--);}P1=b [1];3仿真效果4结语动态显示可以同时显示多位数码管,通过不停的刷新I/O 口的数据来实现多位的持续显示。

实验四八位七段数码管动态显示电路的设计

实验四八位七段数码管动态显示电路的设计

八位七段数码管动态显示电路的设计一、实验目的1、了解数码管的工作原理。

2、学习七段数码管显示译码器的设计。

3、学习VHDL的CASE语句及多层次设计方法。

二、实验原理七段数码管是电子开发过程中常用的输出显示设备。

在实验系统中使用的是两个四位一体、共阴极型七段数码管。

其单个静态数码管如下图4-4-1所示。

图4-1 静态七段数码管由于七段数码管公共端连接到GND(共阴极型),当数码管的中的那一个段被输入高电平,则相应的这一段被点亮。

反之则不亮。

共阳极性的数码管与之相么。

四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。

八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。

三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。

在实验中时,数字时钟选择1024HZ作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。

四、实验步骤1、打开QUARTUSII软件,新建一个工程。

2、建完工程之后,再新建一个VHDL File,打开VHDL编辑器对话框。

3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。

4、编写完VHDL程序后,保存起来。

方法同实验一。

5、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。

6、编译仿真无误后,根据用户自己的要求进行管脚分配。

分配完成后,再进行全编译一次,以使管脚分配生效。

7、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起来。

如果是调用的本书提供的VHDL代码,则实验连线如下:CLK:FPGA时钟信号,接数字时钟CLOCK3,并将这组时钟设为1024HZ。

KEY[3..0]:数码管显示输入信号,分别接拨动开关的S4,S3,S2,S1。

quarter-ii-4位数码管显示实验

quarter-ii-4位数码管显示实验
clk,
rst_n,
data,
seg,
sel
);
input clk;
input rst_n;
input [15:0] data;
output reg [7:0] seg;
output reg[2:0] sel;
reg [3:0] data_temp;
reg [2:0] state;
always (posedge clk or negedge rst_n)
// test vector input registers
reg clk;
reg [15:0] data;
reg rst_n;
// wires
wire [7:0] seg;
wire [2:0] sel;
// assign statements (if any)
top top (
// port map - connection between master ports and signals/registers
指导教师意见
签名: 年 月 日
实验容
设计一个4位数码管显示电路:要求在Quartus II软件平台上用verilog语言设计出一个4位数码管显示电路,并通过编译及仿真检查设计结果。
实验数据
一位数码管的仿真图像
4位数码管的仿真
实验总结
由于初次用到modelsim仿真软件,在使用过程中出现了很多问题,有的问老师和师兄,还有一部分只能通过网络上进行查询,所以花费的时间就很多,做起来难度很大,但是通过这次的学习我也学到了很多东西,多动手多动脑才能更好的学习。
output reg[7:0] seg;
output reg[2:0] sel;

74hc164级联实现四位数码管显示电路

74hc164级联实现四位数码管显示电路
在中国古代,文案亦作" 文按 "。公文案卷。《北堂书钞》卷六八引《汉杂事》:"先是公府掾多不视事,但以文案为务。"《晋书?桓温传》:"机务不可停废,常行文按宜为限日。" 唐戴叔伦《答崔载华》诗:"文案日成堆,愁眉拽不开。"《资治通鉴?晋孝武帝太元十四年》:"诸曹皆得良吏以掌文按。"《花月痕》第五一回:" 荷生 觉得自己是替他掌文案。"
2、设计中的优点与不足:
优点:基本实现了74HC164级联实现四位数码管显示电路的设计与仿真。
不足:由于Multisim中无法直接控制输入信号,我们尝试过利用555定时器构成多谐控制输入信号,可是没有成功。最后,我们在输入信号时,通过运用开关的闭合与断开来控制输入信号,在开关闭合时产生高电平,在开关断开时产生低电平。这样控制输入信号使实验增加了难度和麻烦。
实物样品等〕:
(1)提供核心器件的工作原理与应用介绍;
(2)提供用Protel99设计的电路原理图,也可给出印刷板电路图; (3)提供用Multisim、MaxPluss、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书;
(5)提供参考文献不少于三篇,且必须是相关的参考文献;
7
74HC164逻辑图 (图2)
74HC164功能表
(图3)
H = HIGH(高)电平
L = LOW(低)电平
X =无关项
? = 低-至-高时钟跃变
8
74HC164波形图(图4)
2、七段数码管:
按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二
极管的阳极接到一起形成公共阳
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计说明书课程名称:《单片机技术》设计题目:4位拨动开关控制数码管显示系统设计院(部):电子信息与电气工程学院学生姓名:学号:2010020400专业班级:2010级电气工程及其自动化指导教师:申庆超2013年 5 月17 日课程设计任务书4位DIP开关控制数码管显示系统设计摘要:以单片机AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。

电路由电源模块、复位模块、时钟模块、显示模块等。

它由5V直流电源供电,用拨动开关的低四位为输入,控制输出端数码管显示器的输出。

用编程语言编写程序,系统能够实现如下功能:上电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字或字母“0”-“F”。

关键词:4位拨动开关;单片机;共阳极数码管;编程语言目录1. 设计背景 (1)1.1单片机设计背景 (1)1.2设计目的 (1)2.设计方案 (2)2.1方案一 (2)2.2方案二 (2)2.3方案三 (3)3.方案实施 (3)3.1系统组成框图 (4)3.2输入输出电路设计 (4)3.3时钟电路与复位电路设计 (5)3.4电源电路设计 (6)3.5程序设计 (6)3.6仿真结果 (7)4.结果与结论 (9)4.1结果 (9)4.2结论 (9)5. 收获与致谢 (10)6. 参考文献 (10)7.附件 (11)1. 设计背景1.1单片机设计背景目前单片机渗透到我们生活的各个领域。

导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。

更不用说自动控制领域的机器人、智能仪表、医疗器械了。

因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。

1.2设计目的在理论学习的基础上,通过完成一个单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,能够增强我们理论联系实际的能力,进一步熟练相关专业基础知识的综合应用,提高实际动手能力和设计能力。

对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到全面的锻炼和提高。

2.1方案一使用单片机P1口,由4位DIP开关从P1口低四位输入,高四位输出,译码部分采用74LS247译码器,送往七段数码管显示。

本方案编程简单,占用I/O端口少,但电路设计较复杂,硬件增多,成本增高。

2.2方案二用四—十六译码器74LS47将四位二进制数转换成十进制“0-15”,根据七段数码管显示特性将对应的数字表示出来。

利用卡诺图化简输出表达式为最小项和形式,由与非门构建驱动显示电路。

该方案思路清晰,不涉及软件程序,完全由硬件电路构成。

74LS47有十六个输出端口,因此电路结构复杂。

2.3方案三本方案的译码部分由单片机编程实现,P0口接共阳数码管,由4位DIP开关从P1口低四位输入,经软件译码,送往P0口,在数码管显示相应的数字。

由于译码部分采用了软件实现,省去了译码电路,成本降低,电路设计简单,但编程较方案一复杂,而且占用I/O端口多,占用系统资源。

综合考虑,确定采用方案三实现。

3.1系统组成框图设计以AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。

系统组成框图应包括单片机、电源电路、复位电路、显示电路、时钟电路等。

系统组成框图如图1所示。

图1 系统组成框图3.2输入输出电路设计本设计是以AT89S52单片机为核心部件来实现设计要求的,AT89S52单片机有四个双向的八位I/O 口。

本次实验只用到了P0,P1口,以P0口作为输出口,P1口作为输入口。

本实验中我们是要以P0口输出去控制显示模块,因此在设计时主要考虑P0口作为通用型I/O 口的输出特性。

当P0口作输出口时,输出为漏极开路,但是本实验用的是共阳极数码管,低电平驱动,可以不加上拉电阻。

这次实验用P1口的低四位作拨码开关的输入,P1口作为输入口时,分为“读锁存器”和“读引脚”两种方式。

“读引脚”时,先向锁存器写1,使场效应管截止,这一步应在后期的程序中表达出来。

同时P1口的高四位屏蔽。

电路如图2所示。

图2 输入输出电路3.3时钟电路和复位电路设计AT89S52单片机各功能部件的运行都是以时钟控制信号为基准,有条不紊地一拍一拍地工作。

因此,时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统的稳定性。

本设计采用的时钟电路如图3所示。

图3 时钟电路AT89S52的复位是由外部复位电路实现,本设计除了上电自动复位外,还设计了按键手动复位,利用RC微分电路产生的正脉冲来实现。

电路如图4所示。

图4 复位电路3.4电源电路为了使单片机正常工作,我们设计了电源电路。

通过电源电路实现变压、整流、滤波和稳压的作用,使单片机工作在稳定的5V直流激励下。

电源电路的电路图如图5所示。

图5 电源电路3.5程序设计根据要求,上电后默认为“8”然后波动DIP开关,数码管显示对应的数字。

为了能准确辨认显示的内容我们要区分字母的大小写。

数码管对应显示的内容为“0、1、2、3、4、5、6、7、8、9、A、b、C、d、E、F”程序流程图如图6所示。

图6 程序流程图DIP开关在断开和闭合过程中呈现一串负脉冲,抖动时间的长短与开关的机械特性有关。

常用的开关去抖动方法是用软件延时来消除,在检测到有开关打开时,该管脚变为低电平,执行一段延时1mS的子程序后,确认该管脚电平是否仍为低电平,这确认该开关确实打开。

本次试验用的约是12MHz的晶振,一个机器周期为1uS,需要1000个机器周期的延时,。

而一个八位的寄存器最多能表示到255,那么要实现要求就只能用延时程序的嵌套。

把要在数码管上显示的内容“1-F”所点亮的发光二极管的段用二进制表示出来,构造查表的表格。

将从P1.0至P1.3管脚的值按其对应的“权”相加的和作为查表的的变址,以表格的首地址作为基址打造查表指令。

按要求用汇编语言编写如下。

程序见附件。

3.6仿真结果在Proteus软件中搭建仿真电路,并在软件Keil中用汇编语言编程并将编译的无误的程序转换成十六进制的hex 文件导入到Proteus中。

经过反复调整和修改仿真结果完全符合课题要求。

如拨动开关为0010时,对应仿真结果如图7所示。

图7 仿真结果4. 结果与结论4.1结果将元器件焊好检查无误后,把程序下载到单片机内,然后接到9V电源上调试。

调试结果如下所示。

上电后默认为“8”,调整4位DIP开关按二进制输入。

当DIP开关为“0000”,数码管显示“0”;当DIP开关为“0001”,数码管显示“1”;当DIP开关为“0010”,数码管显示“2”;当DIP开关为“0011”,数码管显示“3”;当DIP开关为“0100”,数码管显示“4”;当DIP开关为“0101”,数码管显示“5”;当DIP开关为“0110”,数码管显示“6”;当DIP开关为“0111”,数码管显示“7”;当DIP开关为“1000”,数码管显示“8”;当DIP开关为“1001”,数码管显示“9”;当DIP开关为“1010”,数码管显示“A”;当DIP开关为“1011”,数码管显示“b”;当DIP开关为“1100”,数码管显示“C”;当DIP开关为“1101”,数码管显示“d”;当DIP开关为“1110”,数码管显示“E”;当DIP开关为“1111”,数码管显示“F”;按复位键后显示为“8”。

4.2结论单片机具有人机对话功能,开关,键盘是实现人机对话的主要输入设备,也是最常用的设备,通过它能发出各种控制指令和数据到单片机。

而二极管,数码管,LCD显示器是常用的输出设备,单片机接受一系列指令到,执行一定功能后,可通过这些设备输出。

我主要负责单片机外围硬件电路的设计,在初始设计时,由于惯性思维直接在P0口接上拉电阻。

后来检查发现本次设计显示电路的数码管是共阳极的,低电平就可使其点亮,根本就用不到高电平,这样不光节约资源而且电路能简洁许多。

在本次设计中有一个失误,数码管上没有加上拉电阻,5V电压直接加到数码管上长时间工作很容易烧毁。

对这些细节问题的把握必须建立在牢固的专业知识基础之上。

在刚开始学习单片机的并行I/O口时我不理解为什么要分通用口和专用口?为什么P0口作为通用口输出时要接上拉电阻,为什么P口作通用口输入读引脚时都要先向锁存器写入1?通过这次实验我逐个分析了P口的电路图结构图,是内部的电路的不同结构所导致的。

这就要求我们灵活运用所学知识,熟知并掌握单片机片内硬件的基本结构和特点,这样才能在设计时做到心中有数。

5. 收获与致谢通过这两周的课程设计,我学到了不少东西。

课程设计不仅考察我们的动手能力,理论联系实际的能力,还考察我们的团队合作能力,小组成员分工合作共同探讨,积极努力完成了这次课程设计。

这次课程设计不仅提高了自己的动手能力,拓展了自己的思维,增强了将理论知识和实际动手操作相结合的能力。

虽然我们所设计的4位拨动开关控制数码管显示系统相对简单一些,但是过程相当复杂,刚开始焊接好元器件,程序烧写好后,我们的实物不能正常的工作,经过各成员的反复分析和推敲认定是七段数码管的封装有误。

可是我们是按照课本上的封装图焊接元件的,这也能有错?事实是检验真理的唯一标准,我们将数码管的c、e管脚调换后,电路能正常工作了。

通过本次课程设计,我受益匪浅。

在此,真诚感谢两周来耐心辅导我们的申庆超老师和我们的每一位组员。

谢谢!6. 参考文献[1] 张毅刚. 单片机原理及应用[M]. 北京:高等教育出版社,2009.[2] 杜尚丰. CAN总线测控技术及其应用[M]. 北京:电子工业出版社,2007.[3] 杜树春. 单片机C语言和汇编语言混合编程详解[M]. 北京:北京航空航天大学出版社,2006.[4] 胡汉才. 单片机原理及其接口技术[M]. 北京:清华大学出版社,1996.[5] 施隆照. 数码管显示驱动和键盘扫描控制器CH51及其应用[J]. 国外电子元器件,2004.[6] 谢嘉奎. 电子线路[M]. 北京:高等教育出版社2004. .[7] 臧春华. 电子线路设计与应用[M]. 北京:高等教育出版社,2005.[8] 王守中,聂元铭. 51单片机开发与典型事例[M]. 北京:人民邮电出版社,2009.[9] 陈粤初. 单片机应用系统技术与实践[M]. 北京:北京航空航天大学出版社,1991.[10] 何立民. 单片机应用技术选编[M]. 北京:北京航空航天大学出版社,1993.7. 附件7.1电路硬件原理图如图8所示。

相关文档
最新文档