数电课程设计报告-汽车尾灯控制电路设计
电子技术课程设计---汽车尾灯设计
数字电子技术课程设计题目:汽车尾灯控制班级:姓名:学号:指导教师:完成时间:汽车尾灯控制电路一.设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。
用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。
当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭)显示。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反(R1→R1R2→R1R2R3→全灭→R1)。
图1 左转弯显示规律图二、设计条件本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用Multisim软件进行仿真。
三、设计要求及原理本课程设计分为实际设计与虚拟仿真两个环节。
根据以上要求,要实现当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭→L1L2L3)显示,如图1。
同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反。
当KR和KL同时按下时,L1L2L3和R1R2R3均按时钟闪烁。
可先用74138对开关信号译码来产生高低电平,再用74163来计数,最后把产生的数用逻辑器件来实现上述要求。
根据不同的状态,绘制汽车尾灯和汽车运行状态表如下;图2 汽车尾灯控制电路设计总体框图四、设计内容(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明: 在本次实验中,汽车尾灯的闪烁快慢要由计数器74163产生的数的快慢来决定,即与时钟CLK 的频率有关。
在本次设计中,我想利用555定时器产生0.5kHZ 的方波。
②.设计计算公式:高电平时间: 221ln )(C R R t ph += 低电平时间: C R t pl 2=2ln ③.设计最后图形如图(3):图(3)④.仿真波形以及连接图形如图(4):如图(3)所示为在Multisim里仿真时的实际连接电路。
数电课设 汽车尾灯控制器的电路设计
武汉理工大学《数字电子技术基础》课程设计课程设计任务书学生姓名:专业班级:指导教师:工作单位:题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。
电路组成框图如图1所示。
(也可以使用单片机系统设计)图1汽车尾灯控制电路框图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周内完成对汽车尾灯控制器的设计、仿真、装配与调试。
2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5篇参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规范。
时间安排:1)第1-2天,查阅相关资料,学习设计原理。
2)第3-4天,方案选择和电路设计仿真。
3)第4-5天,电路调试和设计说明书撰写。
4)第6天,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日武汉理工大学《数字电子技术基础》课程设计目录摘要 (1)1. 系统总体设计 (2)1.1 设计方案构思 (2)1.2 电路原理框图 (2)2.各模块方案及电路参数 (3)2.1 脉冲产生电 (3)2.1.1电路方案 (3)2.1.2电路原理图 (3)2.1.3电路参数 (4)2.2三进制电路 (5)2.2.1电路设计 (5)2.2.2电路原理图 (6)2.3 开关控制电路 (7)2.3.1电路工作原理 (7)2.3.2电路原理图 (7)2.4 译码驱动电路 (8)2.3.1电路工作原理 (8)2.3.2电路原理图 (8)4. Multisim仿真 (9)4.1 脉冲产生电路仿真 (9)4.2电路整体仿真图 (10)5.实物测试 (11)6.总结 (12)7.元件清单 (13)8.附录 (14)参考文献 (17)武汉理工大学《数字电子技术基础》课程设计摘要《数字电子技术基础》课程是一门实用的专业基础课,而该课程的课程设计就是为了让我们巩固在理论课和实验课程中学习到的知识。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
数电汽车尾灯控制电路实验报告
数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。
掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。
1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。
2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。
通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。
2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。
2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。
3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。
4.调试和验证电路的功能,确保尾灯控制电路正常工作。
3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。
经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。
3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。
2. 示宽灯:当车辆转向时,尾灯会交替闪烁。
3. 倒车灯:当车辆倒车时,尾灯会亮起。
4. 位置灯:车辆启动后,尾灯会持续亮起。
3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。
该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。
4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。
同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。
数字电路课程设计汽车尾灯控制电路报告.
沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
数电课程设计报告-汽车尾灯控制设计
数电课程设计报告-汽车尾灯控制设计————————————————————————————————作者:————————————————————————————————日期:烟台南山学院数电课程设计题目汽车尾灯控制设计姓名:所在学院:自动化工程学院所学专业:班级:学号:指导教师:田老师完成时间: 2010—12-16内容提要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。
另一方面爷可以使我们更好地巩固和加深对基础知识的理解,学会设计中小型系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。
通过实践应道我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。
通过设计,一方面可以加深我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个时间的阶段.设计是工科学生面对的重要课题,经历这个过程才能真切感受到工科的魅力,拉近与生产的距离。
本课程设计是设计一个汽车尾灯的控制电路,实现在不同操作下左右各3个尾灯的不同显示方式:1.在行驶时右转则右边3个灯以:3灯全灭—〉L4亮->L4、L5亮—〉L4、l5、L6亮-〉3灯全灭循环且L1、L2、L3灯一直全灭2.当左转时则左边3个灯以:3灯全灭—>L3亮—〉L2、L3亮->L1、L2、L3亮->3灯全灭循环且L4、L5、L6灯全灭3.当左右2个开关都被借接通时,说明驾驶员是一个外行,紧急闪烁器起作用,6个尾灯大约以1HZ的频率一致地善守着亮与暗的循环4.当驾驶员紧急刹车时,左右两开关均接通六个灯会同时亮这要求我们要正确使用各芯片来实现设计要求,理解实验中用到的各个芯片的引脚和接线及其所能实现的逻辑功能。
在所列出的各项方案中选出最简洁的进行设计。
《数字电子技术课程设计》——汽车尾灯控制电路
《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
数字电子课设报告汽车尾灯控制电路设计
数字电子课设报告汽车尾灯控制电路设计.txt 二. 方案论证方案一: 汽车尾灯控制电路主要由 D 触发器逻辑电路,左转,右转控制电路,刹车控制电路构成. 首先将脉冲信号 CLK 提供给 D 触发器逻辑电路. 用三片 D 触发器设计一个逻辑电路可以产生 001,010,100 的循环信号. 将此信号作为左转,右转的原始信号. 设置左转控制开关和右转控制开关. 通过开关的控制将左转,右转的原始信号通过逻辑电路分别输出到左,右的 3 个汽车尾灯上.这部分电路起到信号分拣的作用. 设置刹车控制开关,将脉冲信号 CLK 提供给刹车控制电路. 当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号 CLK 全部闪烁的功能. 最终得到的信号即可输出到发光二极管上,实现所需功能. 方案一原理框图如图 1 所示.1CLKD图 1 方案一原理框图方案二: 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示,驱动电路构成. 由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮. 首先,设置两个可控制的开关,可产生 00,0 1,1 0,1 1 四种状态. 开关置为 0 0 状态时,表示汽车处于正常运行状态. 开关置为 0 1状态时,表示汽车处于右转弯的状态. 开关置为 1 0 状态时,表示汽车处于左转弯的状态.开关置为 1 1 状态时,表示汽车处于刹车的状态. 其次,设计电路实现所需达到功能. 三进制计数器可用两片 D 触发器构成. 译码电路可用 3 线—8 线译码器 74LS138 和 6 个与非门构成. 显示,驱动电路由 6 个发光二极管和 6 个反向器构成.2方案二原理框图如图 2 所示.显示,驱动电路开关控制电路译码电路三进制计数器图 2 方案二的原理框图最终方案为方案二.电路设计三. 电路设计1.时钟脉冲电路由 555 定时器构成的多谐振荡器电路如图 3 所示.12V Vs 1 28.86k R14 8 VCC RST DIS THR TRI CON GND 1 OUT 36 57.72k R27 8CP7 6 2 510nF C10nF Cf 0LM555CM Timer图 3 由 555 构成的多谐振荡器接通电源后,电容 C 被充电,Vc 上升,当 Vc 上升到 2/3Vcc 时,触发器被复位, 此时Vo 为低电平,电容 C 通过 R2 和 T 放电,使 Vc 下降.当 Vc 下降到 1/3Vcc 时, 触发器又被复位,Vo 翻转为高电平.周期 T 为: T=(R1+2R2)Cln2≈0.7(R1+2R2)C 这样,通过控制电容充放电时间,使多谐振荡器产生时钟信号. 2.开关控制电路开关控制电路如图 4 所示.3VCC 5V VCC J1 Key = A 10 R1 200? 0 U7B U15B 12 CGVCC 5V U3A VCC 74LS136D J2 Key = B11 R2 200? 013 74LS00D 74LS04D U9B D U16A 14 74LS00D CP 74LS10D 图 4 开关控制电路电路通过控制开关 A,B 的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四种状态. AB 置为 0 0 状态时,表示汽车处于正常运行状态. AB 置为 0 1 状态时,表示汽车处于右转弯的状态. AB 置为 1 0 状态时,表示汽车处于左转弯的状态. AB 置为 1 1 状态时,表示汽车处于刹车的状态. 3.三进制计数器原理图如图 5 所示.4VCC 5V2 ~1PR 4 1 1J 1CLK ~1Q ~1CLR3 14 1Q 15U2A AVCC16 1K74LS76D 1322U2B1Q 15~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14B16 1K74LS76D图 5 三进制计数器原理框图4.译码,显示驱动电路译码,显示驱动电路如图 6 所示.VCC U4A 74LS00D U5A 9 U10A LED1 23 R3 17 200 5VA B1 2 C 3 6 G45U1A B C G1 ~G2A ~G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 15 14 13 12 11 10 9 774LS04D U11A LED2 3 10 24 R4 18 16 200 74LS00D 74LS04D 4 U6A U12A 5 LED3 11 25 R5 19 6 7 8 200 74LS04D U13A LED4 R6 12 20 26 200 74LS00D 74LS04D U8A U14A LED5 R7 13 21 27 200 74LS00D 74LS04D U9A U15A LED6 R8 14 22 28 200 74LS00D 74LS04D 74LS00D U7A0 74LS138DVCC图6 译码,显示驱动电路5四,性能的测试利用 Multisim10 进行测试和仿真. 1.当汽车正常行驶时,AB 置为 0 0 状态,指示灯全灭.仿真结果如图 7 所示.图7正常行驶仿真结果2.当汽车左转弯时,AB 置为 1 0 状态,左侧 3 个指示灯按 LED1->LED2->LED3 顺序循环点亮. 仿真结果如图 8 所示.6图8左转弯仿真结果3.当汽车右转弯时,开关置为 0 1 状态,右侧 3 个指示灯按 LED4->LED5->LED6 顺序循环点亮. 仿真结果如图 9 所示.7图9右转弯仿真结果4.当汽车刹车时,AB 置为 1 1 状态,所有指示灯全部随着时钟信号闪烁. 仿真结果如图10 所示.8图 10刹车仿真结果五.结论电路的主要特点是选用简单常见的元器件,充分利用所学知识. 通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能.六.性价比本电路采用的都是简单且常见的元器件, 价格相对便宜, 性能基本符合技术要求. 适用于对技术要求不是十分严格的电路.因此,本电路的性价比较高.七,课设体会及合理化建议课设体会及合理化建议这次总的说来收获很大,但在独立设计过程中着实也遇到了不少困难.比如开始时不知用什么逻辑器件使输出为 001,010,100 的循环,以使指示灯按一定的顺序依次点亮, 后经过与同学的讨论最终使问题得到了解决, 我想这也是最吸引我们的地方, 当真正投入时才发现乐在其中. 一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的学习和老师的指导,才完成了电路的设计并成功进行了仿真.9参考文献[1] 刘修文主编. 实用电子电路设计制作.[M]北京:中国电力出版社,2005 年 [2] 朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004 年 [3] 路勇主编.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 年 [4] 阎石主编. 数字电子技术. [M]北京:高等教育出版社,2006 年 [5] 谢自美主编.电子线路设计实验测试.[M]武汉:华中科技大学出版社,2006 年 [6] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005 年10附录Ⅰ附录Ⅰ总电路图11附录Ⅱ附录Ⅱ元器件清单序号编号名称型号数量1R1, R2, R3, R4, R5, R6, 电阻 R7,R8, R9 R10 LED1,LED2, LED3,LED4, LED5,LED6, U2A,U2B, U10A,U11A, U12A,U13A, U14A,U15A, U15B, 电阻电阻20082 328.86k 57.72k1 14发光二极管LED65JK 触发器74LS7626非门74LS0477U4A,U5A,U6A, U7A,U7B,U8A, 与非门 U9A,U9B74LS0089 10 11 12U16A,与非门直流电源直流电源74LS10 5V 12V DIPSW11 4 1 2J1,J2,开关13 14 15U17, U1,U3A,555 定时器 LM555CM 译码器异或门 74LS138 74LS1361 1 1121千里莺啼绿映红,水村山郭酒旗风。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。
二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。
三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。
汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。
四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。
五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。
本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。
数字电子课程设计报告--汽车尾灯控制电路设计
汽车尾灯控制电路设计班级:学号:姓名:指导教师:一、总体设计要求及系统功能描述设计一个汽车尾灯控制的电路;1)用6个发光二极管模拟6个汽车尾灯(左、右各3个,高电平点亮),用2个开关作为左转弯、右转弯控制信号(高电平有效)。
2)当汽车往前行驶时,6个灯全灭;3)汽车左转时,左边三个灯顺序点亮,右边灯则全不亮;反之,右转时,情况相反;4)踩刹车时,汽车灯全亮;5)紧急情况时,左边灯和右边灯都亮,但是都是闪烁状态。
二、系统设计方案论述1)downto 0)y_out STD_LOGIC_VECTOR(2downto 0)右灯输出输出2) 顶层电路图3)设计源程序说明:library ieee;use ieee.std_logic_1164.all;entity weideng isport(zuo,you,clk,en:in std_logic;z_out:out std_logic_vector(2 downto 0);y_out:out std_logic_vector(2 downto 0));end weideng;architecture qiche of weideng issignal zo,yu,sha:std_logic;beginprocess(zuo,you)variable a:std_logic_vector(1 downto 0);------------定义刹车(sha)信号--------------begina:=zuo&you;case a iswhen "00"=>zo<='0';yu<='0';sha<='0';------------若左右信号均为0,则不是刹车信号when "10"=>zo<='1';yu<='0';sha<='0';------------若左为1右为0,也不是刹车信号when "01"=>yu<='1';zo<='0';sha<='0';----------若右为1左为0,不是刹车信号when others=>yu<='1';zo<='1';sha<='1';---------只有左右都是1,才是刹车信号end case;end process;--------------------左边三个灯------------------process(clk,en,sha,zuo,)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";--------若输入刹车信号,三个灯全亮elsif clk'event and clk='1' thenif en='1' thenif tmp ="000" thentmp:="111";else tmp:="000";----------若输入紧急(en)信号,灯双闪end if;elsif zuo='0'and you ='0' thentmp:="000";------------若左右输入信号为0时,灯全不亮elsif zuo='1' thenif tmp="000" thentmp:="001";------------若输入左转信号,三个灯顺序点亮elsetmp:=tmp(1 downto 0)&'0';end if;end if;end if;z_out<=tmp;end process;-------------------------右边三个灯-------------------------- process(clk,en,sha,you)variable tmp:std_logic_vector(2 downto 0);beginif sha='1' thentmp:="111";elsif clk'event and clk='1' thenif en='1' thenif tmp="000"thentmp:="111";else tmp:="000";end if;elsif zuo='0' and you='0' thentmp:="000";elsif you='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;end if;y_out<=tmp;end process;end qiche;4)仿真图说明在en(紧急情况)为0的前提下,当左右输入信号均为0时,左边三个灯和右边三个灯的输入信号为0,即左边灯和右边灯都不亮;在en为0的前提下,左灯输入信号和右灯输入信号都是1时,左灯和右灯全亮;在en为0的前提下,左灯输入信号为0,右灯输入信号为1时,右灯顺序点亮;在en为0的前提下,右灯输入信号为0,左灯输入信号为1时,左灯顺序点亮;当输入信号en为1时,输出全为双闪。
数电汽车尾灯控制电路课程设计精选全文
可编辑修改精选全文完整版任务书一、题目:汽车尾灯控制电路二、设计目的要求汽车行驶时有正常行驶、左转、右转、和刹车四种情况,设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)。
(1)汽车正常行驶时只是灯全灭;(2)汽车右转弯时,右侧3个灯按右循环顺序点亮;(3)汽车左转弯时,左侧3个灯按左循环顺序点亮;(4)汽车临时刹车时所有指示灯同时闪烁。
三、主要内容及实现的功能电路有四种状态,即汽车正常行驶,向左转弯,向右转弯,临时刹车。
要实现所要求的四种状态,对于要实现的四种状态,电路设计主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三是汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
四、主要参考资料课程设计报告一、课题分析汽车尾灯控制电路,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态要一一对应;三十汽车尾灯的显示要依次循环变亮。
针对以上三项要求,我们设计了相应的模块。
用555芯片实现脉冲产生电路,其主要电路为一多谐振荡电路;通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态之间的对应;通过三进制计数器实现汽车尾灯依次并循环显示。
二、设计文档(1)汽车尾灯显示与汽车运行状态关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。
假定用开关k1和k0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。
表1. 汽车尾灯和汽车运行状态(2)汽车尾灯控制电路功能描述在汽车左右转弯行驶时由于3个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮三个指示灯。
可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量k1、k0,以及时钟脉冲cp之间关系的功能表如表2所示(表中0表示灭灯状态,1表示灯亮状态。
数字电子技术课程设计汽车尾灯控制电路
数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。
在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。
本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。
一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。
数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。
在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。
所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。
二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。
这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。
工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。
2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。
NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。
通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。
3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。
按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。
4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。
在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。
三、实验结果通过将设计好的电路拼装后,进行了实验测试。
实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。
汽车尾灯控制电路设计数字电路课程设计
汽车尾灯控制电路设计方案《数字电路课程设计》报告目录第一部分系统设计 (2)1.1 设计题目及要求 (2)1.2 总体设计方案 (2)第二部分单元电路设计 (2)2.1 时钟脉冲电路 (2)2.2 开关控制电路 (4)2.3 三进制计数器 (4)2.4 译码、显示驱动电路 (6)第三部分整机电路 (7)3.1 整机电路图 (7)3.2 元件清单 (7)第四部分性能测量 (8)4.1实验条件(仿真调试和试验箱) (8)4.2 电路调试 (8)第五部分课程设计总结 (10)第一部分系统设计1.1 设计题目及要求设计一个汽车尾灯控制电路,尾灯共有六个,左右各三个。
基本要求为:1、正常行驶和停车时指示灯全灭。
2、汽车左转弯和右转弯时以动态流水灯形式指示转弯和转弯方向。
3、汽车刹车时使所有尾灯闪烁,提醒后边的车辆防止追尾。
1.2 总体设计方案1.2.1 设计思路在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
1.2.2 设计方案方案原理框图如图2.1所示:开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1.2.2 汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求发光。
第二部分单元电路设计2.1 时钟脉冲电路2.1.1 时钟脉冲电路图时钟脉冲原理图如图2.1.1所示:图2.1.1 脉冲电路2.1.2 时钟脉冲电路工作原理由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。
二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。
由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。
本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。
三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。
四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。
数电课程设计汽车尾灯
一.设计总体思路在汽车尾灯设计中采用了一片四位双向移位存放器74LS194,用六个发光二极管代替汽车尾灯,通过控制电路控制发光二极管的灯亮以及灯灭的情况及走向来实现设计要求。
循环图:正常行驶向右转弯:向左转弯:刹车时:总电路图:道理框图:二.单位电路设计〔一〕循环电路汽车在右转弯时尾灯循环亮灭情况如下:道理说明:汽车右转弯时,在右转弯开关控制下,汽车尾灯按周期性亮灭,这是用一片74LS194移位存放器构成的循环移动电路实现的,即Q A Q B Q C按100→110→111→000循环移动,1代表发光二极管点亮,0代表发光二极管熄灭。
具体电路如下:(二)控制电路控制电路是由一系列的逻辑门来实现的。
摆布转弯的控制是通过与非门、与门和循环电路的与非门连接来实现的,刹车的控制是通过脉冲信号、与非门的连接来实现的。
具体电路如下:(三)指示电路用发光二极管来代替汽车尾灯,通过200Ω电阻连接到VCC。
当输入端位高电平时,灯灭;当输入端为低电平时,灯亮。
LED1 LED2 LED3 LED4 LED5 LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5V三.仿真电路及波形〔一〕循环仿真(二)摆布转弯仿真U1A 74LS00DU2A 74LS00D U3A74LS00D U4A 74LS00D U5A74LS00D U6A 74LS00DLED1LED2LED3LED4LED5LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5VU774LS194DA 3B 4C 5D 6SL 7QA 15QB 14QC 13QD12SR 2~CLR 1S09S110CLK11U8A 74LS09DU9A 74LS09DU10A 74LS00DJ1Key = 1J2Key = 2J3Key = 3U13A 74LS00D U14A 74LS00DU11A 74LS04DU12A 74LS04DU15A 74LS09DVCC5VR71kΩR81kΩR91kΩVCC 5VXFG1XSC1XSC2(三)刹车仿真U1A 74LS00DU2A 74LS00D U3A74LS00D U4A 74LS00D U5A74LS00D U6A 74LS00DLED1LED2LED3LED4LED5LED6R1200ΩR2200ΩR3200ΩR4200ΩR5200ΩR6200ΩVCC5VU774LS194DA 3B 4C 5D 6SL 7QA 15QB 14QC 13QD12SR 2~CLR 1S09S110CLK11U8A 74LS09DU9A 74LS09DU10A 74LS00DJ1Key = 1J2Key = 2J3Key = 3U13A 74LS00D U14A 74LS00DU11A 74LS04DU12A 74LS04DU15A 74LS09DVCC5VR71kΩR81kΩR91kΩVCC 5VXFG1XSC1XSC2四.安装、调试的步调(一)检测电路板的好坏在接线前先检测的导通情况:在电路板的正负极各接一根导线到电源的正负极,接着从电路板的正负极的横排每个接线孔一次接一根导线到任意一个发光二极管,不雅察其发光情况,正向导通的二极管在接到正极时应发光,反响导通的二极管在接到负极时应导通,这两种情况说明电路板是好的。
数电汽车尾灯控制电路实验报告
数电汽车尾灯控制电路实验报告实验名称:数电汽车尾灯控制电路实验实验目的:1. 了解数字电路的基本原理和实验步骤;2. 熟悉数电元件的特性和使用方法;3. 掌握数字电路的组成和运作方式。
实验材料和设备:1. 数字集成电路(IC):74LS08(与门)、74LS04(非门)、74LS32(或门)2. 实验电路板(面包板)3. 电源:直流电源(5V)4. 电阻、电容、LED 等元件5. 逻辑开关实验原理:数字电路是由数字元件(如与门、非门、或门等)组成的电路系统,主要用于数字信号的处理。
本实验的目标是设计一个汽车尾灯控制电路,实现根据特定的信号来控制汽车尾灯的亮灭。
实验步骤:1. 将实验电路板上的电源连接好,保证电路工作所需的供电。
2. 按照实验需求,连接相应的 IC 和其他元件(如开关、LED 等),组成所需的电路。
3. 打开实验电源,给电路提供工作电压。
4. 按照实验要求,观察汽车尾灯的亮灭情况,并记录结果。
5. 分析实验结果,总结数字电路的工作原理和特点。
实验注意事项:1. 实验时注意安全,避免电路短路和触电等事故;2. 实验过程中,根据需要调整电路连接、元件的参数等;3. 实验结束后,及时关闭实验设备和电源,保持实验环境整洁。
实验结果与分析:根据实验设计的汽车尾灯控制电路,根据特定的输入信号,可以实现对汽车尾灯的控制。
通过对实验结果的观察和分析,可以得出数字电路的工作原理和特点。
实验总结:通过本次实验,我对数字电路的基本原理和实验步骤有了更深入的理解,并且了解了数字元件的特性和使用方法。
同时,通过设计汽车尾灯控制电路,我掌握了数字电路的组成和运作方式。
这些知识对于今后的学习和工作都具有重要的意义。
数电汽车尾灯控制设计报告
湖南第一师范学院课程设计报告题目:汽车尾灯控制电路设计起止日期:自2011年12月19日至2011年12月30日信息科学与工程系系(部)李思雨廖露学生姓名09电子科学与技术一班班级09403100134 09403100122学号成绩指导教师(签字)2011 年 12 月 30 日湖南第一师范学院课程设计任务书2011-2012学年第一学期系(部)信息科学与工程系专业电子科学与技术班级 09电子1班课程名称:数字电子技术设计题目:汽车尾灯控制电路设计完成期限:自2011年 12月19日至2011年12月30日共2周目的及任务目的:1、在对数电知识理解的基础上,进行更高层次的设计实验,在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。
2、利用学过的知识,解决电子线路中常见实际问题,逐步积累掌握实际电子制作经验。
任务:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:1、汽车正常行驶时,尾灯全部熄灭。
2、当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
3、当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
4、临时刹车时,所有指示灯同时闪烁。
进度安排起止日期工作内容2011.12.19前选题,了解设计内容,复习数电相关知识和查阅资料。
2011.12.19-22 分析设计任务,选择总体方案,单元电路设计。
2011.12.22-27 设计总体电路,调试。
2011.12.27-30 继续完成设计,撰写实验报告,进行答辩。
主要参考资料[1] 康华光.电子技术基础(数字部分).武汉:高等教育出版社,2006.1.[2] 彭介华.电子技术课程设计指导.北京:高等教育出版社,1997[3] 秦曾煌编.电工学(第五版)学习指导北京:高等教育出版社,2001.1[4] 肖蕙蕙主编.数字电子技术基础重庆:重庆大学出版社,2002指导教师(签字):年月日教研室主任(签字):年月日目录1概述 (1)2方案论证及设计原理 (1)3电路单元模块设计 (3)4系统电路总图及原理 (7)5经验体会 (8)参考文献 (8)附录B元器件清单 (9)1 概述本文介绍了一种通过TTL 系列逻辑门级时序逻辑芯片设计模拟汽车尾灯工作情况电路的方法。
数电课程设计报告---汽车尾灯控制电路设计
数电课程设计报告---汽车尾灯控制电路设计数字逻辑电路课程设计一、设计要求1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮;4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时闪烁。
二、总方案设计(1)列出尾灯与汽车运行状态表如下:(2)设计总框图由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(s1、s0、CP、Q1、Q0)的关系,即逻辑功能表如下表所示:由上表得出在总体框图如下尾灯电路S 01(3)设计单元电路①三进制计数器电路 由74LS161构成如下所示:②译码电路由3-8先译码器74LS138和6个与非门构成。
74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1、Q 0是三进制计数器的输出端。
当S1=0、使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端Y0,Y1,Y2依次为0有效(Y3,Y4,Y5信号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。
若上述条件不变,而S1=1,则74LS18对应的输出端Y4、Y5、Y6依次为0有效,既反相器G4~G6 的输出端为0,故指示灯按D4→D5→D6顺序点亮,示意汽车左转弯。
当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。
74LS138功能表汽车尾灯电路其显示驱动电路由6个法等等二极管和6个反向器构成;④开关控制电路设74LS138和显示驱动电路的使能信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、C P)的真值表如下:由上表且经过整理得逻辑表达式为G=S1⊕S0 A=S1S0+S1S0CP=S1S0·S1S0CP则得开关控制电路⑤总电路图四、元器件74LS161、74LS10、74LS00、74LS86、74LS04、74LS138,200欧电 阻、LED 灯。
数电课程设计报告---汽车尾灯控制电路
扬州大学能源与动力工程学院本科生课程设计题目:汽车尾灯控制电路课程:数字电子技术基础专业:班级:学号:姓名:指导教师:完成日期:总目录第一部分:任务书第二部分:课程设计报告第一部分任务书《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。
主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。
使学生在《数字电子技术》基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。
通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。
真正实现了理论和实际动手能力相结合的教学改革要求。
二、课程设计的要求1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。
2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。
3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。
三、课程设计进度安排1、方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。
2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。
同时配以必要的文字说明。
4、电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。
5、总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字逻辑电路课程设计
一、设计要求
1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);
2、汽车正常运行时指示灯全灭;
3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮;
4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮;
在临时刹车时,所有指示灯同时闪烁。
二、总方案设计
(1)列出尾灯与汽车运行状态表如下:
(2)设计总框图
由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯与各给定条件(s 1、s 0、CP 、Q 1、Q 0)的关系,即逻辑功能表如下表所示:
由上表得出在总体框图如下
尾灯电路
S0S1
(3)设计单元电路
三进制计数器电路
由74LS161构成如下所示:
译码电路
由3-8先译码器74LS138和6个与非门构成。
74LS138的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1、Q0是三进制计数器的输出端。
当S1=0、使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端Y0,Y1,Y2依次为0有效(Y3,Y4,Y5信号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。
若上述条件不变,而S1=1,则74LS18对应的输出端Y4、Y5、Y6依次为0有效,既反相器G4~G6
的输出端为0,故指示灯按D4→D5→D6顺序点亮,示意汽车左转弯。
当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。
74LS138功能表
汽车尾灯电路
其显示驱动电路由6个法等等二极管和6个反向器构成;
④开关控制电路
设74LS138和显示驱动电路的使能信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、C P)的真值表如下:开关控制CP使能信号
由上表且经过整理得逻辑表达式为
G=S1⊕S0 A=S1S0+S1S0CP=S1S0·S1S0CP 则得开关控制电路
⑤总电路图
四、元器件
74LS161、74LS10、74LS00、74LS86、74LS04、74LS138,200欧电 阻、LED 灯。
四、电路仿真结果
1、S 0闭合S 1开启时的瞬间
2、S0开启S1闭合时的瞬间
3、两开关均闭合时
4、两开关均打开时的瞬间
4、CP端脉冲(1KHZ)
六、实习心得
经过这一周半的实习,学到了很多东西,锻炼了自己的
.
能力,熟悉了MULTISIM这个软件。
作为信息时代的大学生,仅会操作鼠标是不够的,对原理的理解和电路的设计能力也非常重要。
之前所有的学习几乎都是理论性的,经过这次实习对很多器件的功能有了更深的了解。
虽然由于不熟悉软件在一开始遇到了很多问题,但经过自己耐心的反复琢磨,最终成功仿真了汽车尾灯控制电路。
通过一个多星期的学习,使我们对multisim有了初步的系统了解。
这些知识在课堂上都学不到,当看到自己的汽车尾灯电路在软件上成功仿真时,高兴不已。
希望自己在以后可以从事和数字电路有关的工作。
总的来说自己有如下收获:1、对MULTISIM有了初步的系统了解。
我们了解到了汽车尾灯的构造等。
2、对自己的动手能力是个很大的锻炼。
在实习中,提高了自己解决问题的能力。
虽然在实习中会遇到难题,但是从中我学到了很多,使自己的理解能力也有所提高,我想在以后的理论学习中我就能够明白自己的学习方向,强化了专业知识,更好的掌握数字电路的这门课程。
整理文档。