《EDA技术实用教程》ppt
合集下载
EDA技术使用教程第四版课件第六章
图6-22 1位全加器的时序仿真波形
(6) 锁定引脚、编译并编程下载,硬件实测此全加器的逻辑功能。
6.1.2 设计流程归纳
图6-23 MAX+plusII一般设计流程
6.2 2位十进制数字频率计设计
6.2.1 设计有时钟使能的两位十进制计数器
(1) 设计电路原理图。
图6-24 用74390设计一个有时钟使能的两位十进制计数器
图6-30 测频时序控制电路
图6-31 测频时序控制电路工作波形
6.2.4 频率计顶层电路设计
图6-32 频率计顶层电路原理图(文件:ft_top.gdf)
图6-33 频率计工作时序波形
使用原理图输入 方法设计,必须 选择打开原理图 编辑器
图4-1 进入MAX+plusII,建立一个新的设计文件
首先在这里用鼠标 右键产生此窗,并 选择“Enter Symbol” 输入一个元件
也可在这里输入 元件名,如2输 入与门AND2,输 出引脚: OUTPUT
然后用鼠标双 击这基本硬件库
这是基本硬件库 中的各种逻辑元件
图6-2 元件输入对话框
输入引脚: INPUT
输出引脚: OUTPUT
图6-3 将所需元件全部调入原理图编辑窗
将他们连接 成半加器
连接好的原理图
首先点击这里
文件名取为: h_adder.gdf 注意,要存在 自己建立的 文件夹中
图6-4 连接好原理图并存盘
步骤3:将设计项目设置成工程文件(PROJECT)
注意引脚属性 错误引脚名将 无正确属性!
按键 “ADD”即可
再编译一次, 将引脚信息 进去
选择编程器, 准备将设计 好的半加器 文件下载到目 器件中去
eda技术实用教程(第四版)课件9
(1)实验任务1: 基于5E+系统的基本控制演示示例是:/KX_7C5EE+/ EXPERIMENTs/EXP13_COLOR_LCD/ (2)实验任务2: (3)实验任务3: (4)实验任务4: (5)实验任务5: (6)实验任务6: 演示示例:/KX_7C5EE+/DEMOs/EXPL9_Super_Mario2/,和 /DEMOs/EXP7_LCD_light_GAME/。
实验与设计
9-1 乐曲硬件演奏电路设计
(1)实验目的: (2)实验原理:
实验与设计
9-1 乐曲硬件演奏电路设计
(1)实验目的: (2)实验原理:
实验与设计
9-1 乐曲硬件演奏电路设计
(3)实验内容1: (4)实验内容2: (5)实验内容3: (6)实验内容4: (7)实验内容5: (8)实验内容6: (9)实验内容7: (10)实验报告:5E+系统的演示文件: /KX_7C5EE+/EXPERIMENTs/EXP4_Music/。
EDA技术实用教程
第9章 VHDL结构与要素
9.1 实
体
9.1.1 实体语句结构
9.1 实
体
9.1.2 参数传递说明语句
9.1 实
体
9.1.2 参数传递说明语句
9.1 实
体
9.1.2 参数传递说明语句
9.1 实
体
9.1.3 参数传递映射语句
9.1 实
体
9.1.3 参数传递映射语句
9.1 实
3. WORK库
4. VITAL库
9.4 VHDL库
9.4.2 库的用法
9.5 VHDL程序包
9.5 VHDL程序包
9.5 VHDL程序包
实验与设计
9-1 乐曲硬件演奏电路设计
(1)实验目的: (2)实验原理:
实验与设计
9-1 乐曲硬件演奏电路设计
(1)实验目的: (2)实验原理:
实验与设计
9-1 乐曲硬件演奏电路设计
(3)实验内容1: (4)实验内容2: (5)实验内容3: (6)实验内容4: (7)实验内容5: (8)实验内容6: (9)实验内容7: (10)实验报告:5E+系统的演示文件: /KX_7C5EE+/EXPERIMENTs/EXP4_Music/。
EDA技术实用教程
第9章 VHDL结构与要素
9.1 实
体
9.1.1 实体语句结构
9.1 实
体
9.1.2 参数传递说明语句
9.1 实
体
9.1.2 参数传递说明语句
9.1 实
体
9.1.2 参数传递说明语句
9.1 实
体
9.1.3 参数传递映射语句
9.1 实
体
9.1.3 参数传递映射语句
9.1 实
3. WORK库
4. VITAL库
9.4 VHDL库
9.4.2 库的用法
9.5 VHDL程序包
9.5 VHDL程序包
9.5 VHDL程序包
EDA技术实用教程3版课件第13章
KX
GRB(2) <= (GRBP(2) XOR MD) AND HS1 AND VS1; GRB(3) <= (GRBP(3) XOR MD) AND HS1 AND VS1; GRB(1) <= (GRBP(1) XOR MD) AND HS1 AND VS1; PROCESS( MD ) BEGIN IF MD'EVENT AND MD = '0' THEN IF MMD = "10" THEN MMD <= "00"; ELSE MMD <= MMD + 1; END IF; --三种模式 END IF; END PROCESS; PROCESS( MMD ) BEGIN IF MMD = "00" THEN GRBP <= GRBX; -- 选择横彩条 ELSIF MMD = "01" THEN GRBP <= GRBY; -- 选择竖彩条 ELSIF MMD = "10" THEN GRBP <= GRBX XOR GRBY; --产生棋盘格 ELSE GRBP <= "000"; END IF; END PROCESS; PROCESS( CLK ) BEGIN IF CLK'EVENT AND CLK = '1' THEN -- 13MHz 13分频 IF FS = 13 THEN FS <= "0000"; ELSE FS <= (FS + 1); END IF; END IF; END PROCESS; FCLK <= FS(3); CCLK <= CC(4); PROCESS( FCLK ) BEGIN (接下页) 康芯科技
EDA技术实用教程精品PPT课件
VerilogHDL与VHDL最常用
VerilogHDL与VHDL的比较
• VHDL来源于古老的Ada语言,VerilogHDL来源于 C语言,VerilogHDL受到一线工作的工程师的青 睐。
• 90%以上的公司采用verilogHDL进行IC设计, ASIC设计必须学习VerilogHDL,VerilogHDL在工 业界通用些,VHDL在大学教学中使用较多
自项向下的设计方法
• 设计说明书 • 行为模型 • 行为仿真 • RTL级建模 • 前端功能仿真 • 逻辑综合 • 测试向量生成 • 功能仿真 • 结构综合 • 门级时序仿真 • 硬件测试
“自顶向下”和“自下向顶”互 为补充
• 原先是采用“自下向顶”的设计方法 • 现在流行“自顶向下”的设计方法 • 两种方法各有利和弊,只强调“自顶向下”
运算步
寄存器传输级(RTL) 时钟周期
逻辑门级(Logic) 延时
门(电路)级(Gate)物理时间
物理级(版图级) (Layout)
几何图形
基本单位
电路的功能(行为) 描述
进程及通信
自然语言描述或ห้องสมุดไป่ตู้互 通信的进程
运算的控制
行为有限状态机、数 据流图、控制流图
寄存器、计数器、多 布尔方程、二元决策 路选择器、算术逻辑 图、有限状态机 单元
• 从算法表示转换到寄存器传输级,即行为 综合
• 从RTL级表示转换到逻辑门的表示,即逻辑 综合
• 从逻辑门表示转换为版图表示,即版图综 合或结构综合
综合与编译的比较
• 编译过程基本属于一种一一对应式的,机 械转换式的“翻译”行为
• 综合具有明显的能动性和创造性,根据设 计库、工艺库以及预先设置的各类约束条 件,选择最优的方式完成电路结构的设计。 对于相同的VHDL表述,综合器可以用不同 的电路结构实现相同的功能。
VerilogHDL与VHDL的比较
• VHDL来源于古老的Ada语言,VerilogHDL来源于 C语言,VerilogHDL受到一线工作的工程师的青 睐。
• 90%以上的公司采用verilogHDL进行IC设计, ASIC设计必须学习VerilogHDL,VerilogHDL在工 业界通用些,VHDL在大学教学中使用较多
自项向下的设计方法
• 设计说明书 • 行为模型 • 行为仿真 • RTL级建模 • 前端功能仿真 • 逻辑综合 • 测试向量生成 • 功能仿真 • 结构综合 • 门级时序仿真 • 硬件测试
“自顶向下”和“自下向顶”互 为补充
• 原先是采用“自下向顶”的设计方法 • 现在流行“自顶向下”的设计方法 • 两种方法各有利和弊,只强调“自顶向下”
运算步
寄存器传输级(RTL) 时钟周期
逻辑门级(Logic) 延时
门(电路)级(Gate)物理时间
物理级(版图级) (Layout)
几何图形
基本单位
电路的功能(行为) 描述
进程及通信
自然语言描述或ห้องสมุดไป่ตู้互 通信的进程
运算的控制
行为有限状态机、数 据流图、控制流图
寄存器、计数器、多 布尔方程、二元决策 路选择器、算术逻辑 图、有限状态机 单元
• 从算法表示转换到寄存器传输级,即行为 综合
• 从RTL级表示转换到逻辑门的表示,即逻辑 综合
• 从逻辑门表示转换为版图表示,即版图综 合或结构综合
综合与编译的比较
• 编译过程基本属于一种一一对应式的,机 械转换式的“翻译”行为
• 综合具有明显的能动性和创造性,根据设 计库、工艺库以及预先设置的各类约束条 件,选择最优的方式完成电路结构的设计。 对于相同的VHDL表述,综合器可以用不同 的电路结构实现相同的功能。
EDA 技术实用教程 第1章 概述PPT课件
VHDL综合器运行流程
VHDL 程序
工艺库
VHDL
约束
综合器
1、工艺库:对程序描述的功能,实现的电路原 理结构框图可确定图,表但对不同系列的芯片,其 功能模块或工艺库不同,实现的具体结构不同。
VHDL综合器运行流程
VHDL 程序
工艺库
VHDL
约束
综合器
约束条件:目的是获得优化电路。当综合器把VHDL源码翻译 成通用原理图时,将识别各功能模块,每种功能模块(如加 法)的实现方案有多种,有图的表 面积小,速度慢;有的速度快, 面积大。VHDL行为描述强调的是电路的行为和功能,而不 是电路如何实现。选择电路的实现方案是综合器的任.综合 器选择一种能充分满足各项约束条件且成本最低的实现方案。
EDA技术实现目标
2、半定制或全定制ASIC 统称为掩模(MASK)ASIC,或直接称ASIC。 特点:用户设计IC,IC 厂家生产 三种级别:
A、半导体元件、连线的大小与尺寸,电路全定制 B、片内晶体管固定门,阵用列户AS设IC 计连线 半定制 C掩、模A库SIC内含标准单元标,准如单元SASSII逻C 辑块、MSI逻辑块、数 据通道模块、存储器、I全P定,制乃芯至片 系统级模块。用户在EDA 工具上进行开发/粘贴。
ASIC – Application Specific Integrated Circuit
(专用集成电路)
EDA技术实现目标
1. 超大规模可编程逻辑器件
FPGA、CPLD特点:直接面向用户, 具有极大的灵活性和通用性,使用方 便.硬件测试和实现快捷,开发效率高, 成本低,上市时间短,技术维护简单,工 作可靠性好等。
CAD 计算机辅助设计
CAE 计算机辅助工程
EDA技术实用教程ppt
EDA技术及其发展 EDA技术的主要内容 EDA设计方法 EDA技术及EDA工具的发展趋势
第一章 EDA技术概况
1.1 EDA技术及其发展
1.什么是EDA? Electronic Design Automation 电子设计自动化
2. EDA技术定义 (广义定义)
半导体工艺设计自动化 可编程器件设计自动化 电子系统设计自动化 印刷电路板设计自动化 仿真与测试、故障诊断自动化 形式验证自动化 通称为EDA工程
1.4 EDA技术及EDA工具的发展趋势
1. EDA技术的发展趋势 (1)广度上:大型机——工作站——微机 (2)深度上: ESDA(Electronic System Design Automation ) CE(Concurrent Engineering 并行设计工程) SOC/SOPC ( system on a programmable chip 单 芯片集成)
3)计算机辅助工程设计CAE阶段
20世纪80年代初,出现了低密度的可编程逻辑 器件(PLA programmable array logic和GAL generic array logic),相应的EDA开发工具主 要解决电路设计没有完成之前的功能检测等问 题。 80年代后期,EDA工具已经可以进行初级的设 计描述、综合、优化和设计结果验证。
EDA技术极大的降低了硬件电路的设计难度,提 高了设计效率,是电子系统设计方法的质的飞跃
传统设计方法
自下而上(Bottom-up)的设计方法
优点
设计人员对于用这种方法进行设计比较熟悉 实现各个子块电路所需的时间短
缺点
一般来讲对系统的整体功能把握不足 实现整个系统的功能所需的时间长因为必须先将 各个小模块完成;使用这种方法对设计人员之间 相互进行协作有比较高的要求。
EDA技术实用教程第五版第一张
EDA技术及其发展 EDA技术实现目旳 硬件描述语言VHDL VHDL综合 基于VHDL旳自顶向下设计措施 EDA与老式电子设计措施旳比较 EDA旳发展趋势
1.1 EDA技术旳概念
1.1.1 EDA技术旳实例引入
EDA( Electronic Design Automation)技术
❖ GPS DIGITAL--TV HDTV RADER-- SYSTEM 等等
1.2 EDA技术实现目的
因为单元库和功能模块电路越加成熟,全定制设计旳 措施渐渐被半定制措施所取代。在目前旳IC设计中,整 个电路均采用全定制设计旳现象越来越少。
3.混合ASIC 既具有面对顾客旳FPGA可编程功能和逻辑资源,
同步也具有可以便调用和配置旳硬件标志单元模块, 如CPU、RAM、加法器、乘法器等。
EDA技术旳主要应用领域
❖数字系统设计:软件、硬件技术成熟,普及程度大;面
广
❖模拟电路设计:正在进入实用。面小
电子信息领域全方面数字化,当代电子设备已极少单纯用模 拟电路。一般只在薄弱信号放大、高速数据采集和大功率输出等 局部采用模拟电路,其他部分如信号处理等均采用数字电路。大 多数电子系统旳主体部分是数字系统。
❖ 注重实践:经过上机熟悉软件使用方法、EDA流程, 强 化了解、利用电子系统旳EDA设计措施。
❖ 学会自学:内容广泛、名词概念繁多;涉及模拟、 数字电子技术,计算机操作、测试技术 学科知识旳应用;技术发展迅速。
❖ 按时完毕作业,做好试验预习和试验报告,并练习 科技论文旳写作措施。
参照书目
书目名称
ASIC ---专用集成电路(Application Specific Integrated Circuit) SoC ---(System on a Chip )系统级芯片/单片电子系统
EDA 技术实用教程课件(潘松)第十一章
yout : out std_logic_vector(9 downto 0));
END adder4;
ARCHITECTURE normal_arch OF adder4 IS
signal t0,t1,t2,t3 : std_logic_vector(7 downto 0);
signal addtmp0,addtmp1 : std_logic_vector(8 downto 0);
*
b2[7:0] [7:0] [7:0]
[15:0]
[15:0] [15:0] [15:0]
[15:0] [15:0] [15:0]
+ [1:16] [1:16] D[15:0] Q[15:0] [15:0[]15:0] yout[15:0]
a3[7:0] b3[7:0]
[7:0] [7:0] [7:0] [7:0]
ENTITY mult2 IS
PORT(clk : in std_logic;
ma : In std_logic_vector(11 downto 0);
mc : out std_logic_vector(23 downto 0));
END mult2;
ARCHITECTURE rtl OF mult2 IS
a1 when cnt = 1 else a2 when cnt = 2 else a3 when cnt = 3 else a0; tmpb <= b0 when cnt = 0 else b1 when cnt = 1 else b2 when cnt = 2 else b3 when cnt = 3 else b0; tmp <= tmpa * tmpb; process(clk) begin if(clk'event and clk = '1') then if(start = '1') then cnt <= "000"; ytmp <= (others=>'0'); elsif (cnt<4) then cnt <= cnt + 1; ytmp <= ytmp + tmp; elsif (cnt = 4) then yout <= ytmp; end if; end if; end process; END s_arch;
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
合肥学院电子信息与电气工程系徐太龙
25
电子技术Ⅲ
VHDL描述语言
VHDL优点 •覆盖面广,系统硬件描述能力强,是一个多层次的硬件描述语言; •VHDL语言具有良好的可读性,既可以被计算机接受,也容易被人们所理 解; •VHDL语言可以与工艺无关编程。
•VHDL语言已作为一种IEEE的工业标准,便于使用、交流和推广。
合肥学院电子信息与电气工程系徐太龙
17
电子技术Ⅲ
芯片级设计
模拟电路设计
数字电路设计
18
合肥学院电子信息与电气工程系徐太龙
电子技术Ⅲ
数字电路设计
传统手工组合逻辑电路设计流程
1. 根据逻辑功能要求,进行逻辑抽象,列出真值表。
2. 根据真值表写出逻辑函数表达式,或者直接画出函数的卡诺图。
3. 把逻辑函数表达式化简或变换,得到所需的最简表达式或转换成需 要的形式。
合肥学院电子信息与电气工程系徐太龙
6
电子技术Ⅲ
本章重点难点
1. 使用硬件描述语言的原因;
2.
3. 4.
使用EDA工具的原因;
数字集成电路设计流程; FPGA供应商及其EDA平台;
5.
6.
硬件描述语言与软件语言的区别;
英文缩略词的中英文含义。
合肥学院电子信息与电气工程系徐太龙
7
电子技术Ⅲ
低 头 族 手 机 控 人 生 三 屏
11
电子技术Ⅲ
cm
mm
合肥学院电子信息与电气工程系徐太龙
12
电子技术Ⅲ
um nm
合肥学院电子信息与电气工程系徐太龙
13
电子技术Ⅲ
合肥学院电子信息与电气工程系徐太龙
14
电子技术Ⅲ
普通头发的直径60-90um
芯片内导线的宽度为28nm
15
合肥学院电子信息与电气工程系徐太龙
电子技术Ⅲ
微电子技术就是使电子元器件和电子设备微小型化的技术,其核心
开 发 的 硬 件 描 述 语 言 的 优 点 , 先 后 有 VHDL87 (IEEE.std_1164/87) ,
VHDL93 (IEEE.std_1164/93) 和含有多值逻辑的IEEE.std_1164标准。 •1995年,我国国家技术监督局推荐 VHDL作为电子设计自动化硬件描述语
言的国家标准。
HDL设计的电路类似于计算机编程。 常用的HDL语言:VHDL和Verilog HDL
合肥学院电子信息与电气工程系徐太龙
24
电子技术Ⅲ
VHDL描述语言
VHDL:VHSIC Hardware Description Language。 VHSIC:Very High Speed Integrated Circuit •VHDL是美国国防部在 20世纪80年代初为实现其高速集成电路硬件 VHSIC 计划提出的描述语言; •IEEE从1986年开始致力于VHDL标准化工作,融合了其它ASIC芯片制造商
22
电子技术Ⅲ
VHDL设计实例
2选1多路选择器的VHDL描述
ENTITY mux21a 实体 END ENTITY IS
PORT ( a, b, s : IN
y: OUT mux21a;
BIT;
BIT);
实体名,设计者自己取
ARCHITECTURE bhv OF mux21a BEGIN PROCESS (a, b, s) BEGIN 结构体 IF (s=‗1‘) END PROCESS; END ARCHITECTURE bhv;
IS 进程语句起始
THEN y<=a; ELSE y<=b; END IF;
合肥学院电子信息与电气工程系徐太龙
23
电子技术Ⅲ
硬件描述语言
硬件描述语言(Hardware Description Language, HDL)一种用于描述数字电 路功能或行为的语言。可以描述硬件电路的功能、信号连接关系及定时关
合肥学院电子信息与电气工程系徐太龙
8
电子技术Ⅲ
电子信息类专业的目标之一 ——让电子设备系统工作
系统包括:软件和硬件
合肥学院电子信息与电气工程系徐太龙
9
电子技术Ⅲ
电子设备系统的硬件部分
硬件部分包括:板级设计和芯片级设计
合肥学院电子信息与电气工程系徐太龙
10
电子技术Ⅲ
合肥学院电子信息与电气工程系徐太龙
ab 00 s 0 0 1 0
01 1 0
11 1 1
10 0 1
传统手工设计流程无能为力 引入现代EDA设计流程
21
合肥学院电子信息与电气工程系徐太龙
电子技术Ⅲ
解决思路
4选1数据选择器 如何设计???
计算机辅助
硬件描述语言
1*9=9 99999*98567=?
C语言编程
合肥学院电子信息与电气工程系徐太龙
4. 按照最简表达式或变换后的形式,画出逻辑电路图。
合肥学院电子信息与电气工程系徐太龙
19
电子技术Ⅲ
数字电路设计
传统手工同步时序逻辑电路设计流程
1. 建立原始状态转换图或状态转换表。
2. 状态化简。
3. 状态分配。 4. 选定触发器类型,求输出方程和驱动方程。
5. 检查自启动能力。
6. 根据输出方程和驱动方程画出逻辑电路图。
是集成电路。因此,微电子技术通常也被称为集成电路技术。
微电子技术的兴起和迅猛发展促进和推动了计算机技术、通信技术
等信息技术的快速发展。可以毫不夸张地说,没有微电子技术,就没有
今天的信息社会。微电子技术是信息社会的基础。
合肥学院电子信息与电气工程系徐太龙
16
电子技术Ⅲ
集成电路(Integrated Circuit, IC)就是通过一系列特定的加工工艺,将晶体
考核方式:考核成绩 = 期终笔试( 40% ) + 学习笔记( 10% ) + 实验
(15%)+ 过程考核N(35%) N=3【过程测试2次15%、设计项目20%】
合格要求:各项成绩都以60分(及格)为合格,卷面考试未达60分参加
补考,其它各项通过重做,直到及格。 学习笔记:重点检查学习过程中学生对学习内容的总结能力和领悟能力。
合肥学院电子信息与电气工程系徐太龙
3
电子技术Ⅲ
参考资料
[1]潘松, 黄继业. EDA技术实用教程—VHDL版(第五版). 科学出版社.
[2]Volnei A. Pedroni 著, 乔庐峰, 王志功 等译. VHDL数字电路设计教与电气工程系徐太龙
26
电子技术Ⅲ
VHDL描述语言பைடு நூலகம்
VHDL设计硬件电路 所谓用VHDL设计是指由设计者编写代码,然后用模拟器验证其 功能,再把这些代码综合成一个与工艺无关的网表,即翻译成 由门和触发器等基本逻辑原件组成的原理图(门级电路),最 后完成硬件设计。
合肥学院电子信息与电气工程系徐太龙
Xilinx: ISE
合肥学院电子信息与电气工程系徐太龙
31
电子技术Ⅲ
作业
1-2
1-3
合肥学院电子信息与电气工程系徐太龙
32
电子技术Ⅲ
第2讲 组合逻辑电路的VHDL描述
合肥学院电子信息与电气工程系徐太龙
33
电子技术Ⅲ
组合电路的VHDL描述
2选1数据选择器的VHDL描述
什么是数据 选择器??
实体名,设计者自己取
管等有源器件(简称器件)以及电阻、电容等无源器件(简称元件),按照一 定的电路互连关系,“集成”在一块半导体晶片上,封装在一个外壳内,执行
特定的电路或系统功能。集成电路通常也被称作芯片。一块半导体晶圆片可以
加工成千上万颗芯片,芯片很小,却可以用晶圆片加工的方式实现规模化生产。 集成电路具有元器件密度高、体积小、重量轻、功耗低、成本低以及可靠
合肥学院电子信息与电气工程系徐太龙
4
电子技术Ⅲ
复习资料
[1] 王毓银. 数字电路逻辑设计(第2版). 高等教育出版社.
[2] 韩焱. 数字电子技术基础(第2版).电子工业出版社.
[3]冯军,谢嘉奎.电子线路(线性部分)第五版.高等教育出版社.
合肥学院电子信息与电气工程系徐太龙
5
电子技术Ⅲ
第1讲 引言
27
电子技术Ⅲ
VHDL描述语言
VHDL与普通计算机软件语言的区别
合肥学院电子信息与电气工程系徐太龙
28
电子技术Ⅲ
数字电路设计
EDA设计流程
自底向上设计方法 自顶向下设计方法 IP核:软核、固核 和硬核
合肥学院电子信息与电气工程系徐太龙
29
电子技术Ⅲ
FPGA
FPGA(Field Programmable Gate Array)现场可编程门阵列,是一 种可编程的IC芯片。 FPGA的主要5大生产厂家: •Altera阿尔特拉是世界老牌的可编程逻辑器件的厂家,是可编程 逻辑器件的发明者;
•Xilinx赛灵思是FPGA的发明者,拥有世界一半以上的市场;
•Actel一直效力于美国军工和航空领域,并禁止对外出售。目前 开始逐渐转向民用和商用;
•Lattice莱迪思
•Atmel艾特梅尔
合肥学院电子信息与电气工程系徐太龙
30
电子技术Ⅲ
FPGA
两大FPGA供应商的EDA工具开发平台: Altera:Quartus II
性高等诸多优点,实现了元器件、电路和系统的完美结合。
集成电路的发明和应用,是人类二十世纪最重要的科技进步之一,它开辟 了电子元器件及电子设备微小型化的新纪元。集成电路对国民经济建设、国防
建设以及社会发展具有至关重要的战略地位和不可替代的核心关键作用。如果