两位数字秒表电路设计
数字秒表电路设计
数字秒表电路设计一、设计任务设计要求:(1)5V电源供电。
(2)数码管显示时间范围为:0~59秒。
(3)可自动复位和手动复位,能实现手动调整时间。
二、设计方案:1、方案分析论证:启动清零复位电路主要由U6A、U6B、U7B、U7D组成,其本质是一个RS 触发器和单稳态触发器。
S1控制数字秒表的启动和停止,S2控制数字秒表的清零复位。
开始时把S1合上,S2打开,运行本电路,数字秒表正在计数。
当打开S1,合上S2键,S2与地相接得到低电平加到U6B的输入端,U6B输出高电平又加到U6A的输入端,而U6A的另一端通过电阻R15与电源相接得到高电平,(此时U6B与U6A组成RS触发器),U6A输出低电加到U7A的输入端,U7A被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。
当S1合上时,打开S2键,S1与地相接得到低电平加到U6A的输入端,U6A输出高电平加到U6B 的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的QD---QA输出0000,经U1、U2译码输出驱动U9、U10显示“00”。
因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。
同时U6A输出高电平加到U7A的输入端,将U7A打开,让3脚输出100KHZ 的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。
当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。
数字秒表电路设计ok
数字秒表电路设计电信1205班 钟杰瑛 学号 U201213508一、设计任务及要求设计一个数字秒表电路,其功能要求是:(1) 设计一个用来记录短跑运动员成绩的秒表电路,能以数字的形式显示时间; (2) 秒表的计数范围为0.01~59.99S ,计时精度为10mS ;(3)通过两个按键来控制计时的起点和终点,一个是清零按键,用于设置秒表为初始状态,另一个则是开始/停止按键,在清零无效时,按一下开始/停止键,则计时器开始计时,再按一下则暂停计时,再按一下则继续计时。
二、系统组成框图本系统采用层次式设计方法设计,系统由时钟脉冲产生电路,可预置计数器,毫秒计数、秒计数、控制电路、译码及显示电路,及消抖动电路等部分(模块)组成。
系统组成框图如图1所示。
系统工作原理是:由时钟脉冲产生电路产生频率为100Hz 的脉冲信号接入暂停/继续控制电路,由该电路通过按键控制毫秒、秒计数器的暂停计数/继续计数。
由控制电路输出的毫秒脉冲输入到毫秒计数器,毫秒计数器(二级毫秒计数器)计数到100毫秒时向秒计数器进位,秒表计数至59.99秒时停止计数。
毫秒,秒计数器输出分别经毫秒,秒译码器译码后送至各自的LED 数码显示器。
三、系统电路设计1.时钟脉冲产生电路时钟脉冲产生电路选用NE555定时器组成多谐振器,产生100Hz 脉冲给毫秒计数器。
电路及其工作波形分别图2(a )、(b )所示。
其工作原理是:① 接通电源后,电容C 被充电,C V 上升,当C V 上升到CC V 32时,触发器被复位,同时定时器内部的放电三极管D T 导通,此时o V 为低电平,电容C 通过2R 和D T 放电,使C V 下降;② 当C V 下降到CC V 31时,触发器又被置位,o V 翻转为高电平。
电容器C 放电所需时间为图1 数字秒表系统组成框图C R C R t PL 227.02ln ≈=③ 当C 放电结束时,D T 截止,CC V 将通过1R ,2R 向电容器C 充电,C V 由CC V 31上升到CC V 32所需时间为C R R C R R t PH )(7.02ln )(2121+≈+=④ 当C V 上升到CC V 32时,触发器又发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其频率为CR R t t f PH PL o )2(43.1121+≈+=由于555内部的比较器灵敏度较高,且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。
数电课程设计--数字秒表
数字电子技术课程设计题目:电子秒表的设计专业: 08自动化(1)班学号: ************ *名:***完成日期: 2010.12.30 指导教师:姚杰老师数字电子技术课程设计任务书班级:08自动化一班姓名:谢志平指导教师:姚杰 2010年12月28日教研室主任签字:年月日目录1 引言 (4)2 设计方案及系统框图2.1 设计方案 (5)2.2系统框图 (5)3 单元电路设计3.1 消抖电路 (6)3.2 上电复位电路 (6)3.3 微分电路 (7)3.4 0.1秒脉冲电路 (7)3.5 计数器电路 (8)3.6 译码电路 (9)3.7 七段译码管电路 (10)4总体电路设计4.1各单元电路工作原理 (11)4.2 电路工作原理 (11)5课程设计总结 (13)6参考文献 (14)7电子秒表原理图元器件明细表 (15)8总电路图 (16)1 引言随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。
人们对他的认识也逐步加深,不断完善电子秒表的功能,人们也利用了电子技术以及相关的知识解决了一些实际问题。
电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也是用于对时间测量精度要求较高的场合。
数字测量仪表不仅比模拟测量仪表精度高、能强,而且容易实现测量的自动化和自能化。
随着集成计数的发展,数字电子技术的应用范围将会更广泛的渗透到国民经济的各个部门,并将产生越来越深刻的影响。
电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动以及国防等方面。
在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活娱乐带来很大的方便,充分利用定时器,能有效地加强我们的工作效率。
随着电子科技的迅速发展,要求我们要理论联系实际。
数字电路课题设计的进行,使我们我们的动手和实际操作能力得到了一定程度的提升,而不是单纯的学习理论。
数字秒表的电路设计1
数字秒表的电路设计
一、设计目的
1.掌握数字秒表的设计、组装与调试方法。
2.熟悉集成电路的使用方法。
二、设计任务与要求
1.设计任务
设计一个能以两位数显示的数字秒表。
2.设计要求
基本要求:
(1) 两位数码显示功能,能够从“0”到“59”依次显示,显示到“59”时,蜂鸣器发出报警。
(2) 具有停摆和清零功能。
发挥部分:
自动报警时,在56秒时,自动发出鸣响声,步长1s,每隔1s鸣叫一次,前两响是低音,最后一响结束为下一个循环开始。
3.设计步骤
(1)根据课题,查阅相关资料。
(2)根据提供的元器件,画出系统原理框图,确定基本电路。
(3)用Multism进行仿真验证,修改。
(4)用万能电路板焊接电路,并调试。
(5)撰写课程设计报告。
三、提供的器材清单。
数字秒表电路的设计
数字秒表电路的设计一、设计要求设计用于体育比赛的数字秒表,要求:⑴计时器能显示 0.01s的时间。
m ⑵计时器的最长计时时间为 24h。
总体框图如图2所示二、模块及模块的功能⑴ 100进制计数器模块BAI见图2 .1, 输出值为 0.01s和0.1s。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bai isport( clr ,clk: in std_logic;bai1,bai0:out std_logic_vector(3 downto 0);c0: out std_logic);end bai;architecture bai_arc of bai isbeginprocess(clk, clr)variable cnt0,cnt1:std_logic_vector(3 downto 0); beginif clr ='0'thencnt0:="0000";cnt1:="0000";elsif clk'event and clk='1' thenif cnt0 ="1000"and cnt1 ="1001"thencnt0:="1001";c0<='1';elsif cnt0 <"1001" thencnt0:=cnt0+1;else cnt0:="0000";if cnt1 <"1001" thencnt1:=cnt1+1;elsecnt1:="0000";c0<='0';end if;end if;end if;bai1<=cnt1;bai0<=cnt0;end process;end bai_arc;⑵ 60进制计数器模块MIAO见图2.2,用于对秒和分的计数。
数字电路课程设计报告数字秒表
数字电路课程设计报告——数字秒表一、设计任务与技术指标:设计数字秒表,以实现暂停、清零、存储等功能。
设计精度为0.01秒。
二、设计使用器件:74LS00 多片74163 4片4511 4片NE555 1片二极管1枚LED 共阴极七段译码器 4 个导线、电阻若干三、数字秒表的构成:利用555 设计一个多谐振荡器,其产生的毫秒脉冲触发74LS163计数,计时部分的计数器由0.01s 位、0.1s 位、s 个位、和s 十位共四个计数器组成,最后通过CD4511 译码在数码管上显示输出。
由“启动和停止电路”控制启动和停止秒表。
由“接地”控制四个计数器的清零。
图1 电子秒表的组成框图四、实现功能及功能特点:(1)、在接通电源后秒表显示00:00,当接通计时开关时秒表开始计时。
(2)、清零可在计时条件下也可在暂停条件下进行。
(3)、解决了在使用163清零端和保持端时由于163默认的清零端优先级高于保持端造成的0.01秒位上无法保持到0.09的技术问题。
(4)、增加了数据溢出功能,由于是4位秒表,最多计到一分钟,当秒表到达一分钟时,秒表自动暂停显示在60:00秒处,此时二极管发亮,起警示灯作用。
清零后则可继续计时。
(5)、由于条件有限,我们自己用导线制作了电源、清零、暂停等开关以减少导线的拔插造成的面板的不美观。
下图为完整课程设计的实物图:五、课程设计原理:本课程设计由模6000计数器和其控制电路组成,模6000计数器功能由同步加法计数器74163和与非门74LS00组成。
74163的功能及用法:74163同步加法计数器具有以下功能:(1)、同步清零功能。
当清零端输入低电平,还必须有时钟脉冲CP的上升沿作用才能使各触发器清零,此过程为同步清零。
(2)、同步并行置数功能。
(3)、同步二进制加计数功能。
(4)、保持功能。
综上所述,74163是具有同步清零、同步置数功能的4位二进制同步计数器。
74163的应用:(1)、构成任意模的计数器将74163与少量门电路结合可构成任意模计数器。
数字秒表电路图
数字秒表电路图
数字秒表电路如图所示。
图中的5G5544(IC1)是一块石英钟集成电路,在电路中作为秒信号发生器使用。
5G5544从③、⑤脚输出周期为2S的脉冲,经由VD3、VD4和VT1组成的非逻辑电平转换电路后,可得到秒信号的输出。
CD4518(IC2)内部封装有两个相同的十进制计数器,所以可形成二位计数,如果需要更多位的计数,可以进行多级级联。
CD4511(IC3、1C4)是BCD-7段译码/驱动集成电路,它将十进制计数器输出的BCD码译成笔画码并驱动LED数码显示器显示所计秒数。
随着秒信号的不断加入,共阴极LED数码显示器会不断显示出计数的秒数。
图:数字秒表电路图S1是清零开关,当按下S1时,CD4518的Cr端便可得到一个正脉冲,使电路清零。
因为5G5544集成电路的工作电压为1.2-2V,所以需经VD1、VD2,降压后向其提供工作电源。
电子技术课程设计---秒表数码显示电路数字秒表电路设计
电子技术课程设计---秒表数码显示电路数字秒表电路设计一,课题名称秒表数码显示电路数字秒表电路设计二,设计要求1. 设计1MHz时钟:2.完成0~59小时59分59秒范围内的计时:3.通过按键设置计时起点与终点,计时精度为10ms:4. 计时暂停、恢复和清零功能;5. 计时时间报警功能;三,比较和选定设计的系统方案,画出系统框图1.1,课题分析与方案确定本题要求计数至59时59分59秒,所以要用到六个数码管。
计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。
暂停功能和清零功能通过开关进行控制。
最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。
1.2,总体设计方案及系统框图数字式秒表,必须有数字显示。
按设计要求,必须用数码管来做显示器。
题目要求59时59分59秒,则需要六个数码管。
要求计数分辨率为0.01秒,则需要相应频率的信号发生器。
总体上,采用六个74LS160计数器。
使用同步预置法,实现59时59分59秒的计时。
将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。
四,单元电路设计、参数计算和器件选择1.1,各个模块单元设计74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。
功能表:图20引脚图:图21逻辑符号及其引脚功能图:图2274ls160中的ls代表为低功耗肖特基型芯片。
74160为标准型芯片。
结构功能一样。
2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。
两位数字秒表电路设计
自主设计实验报告学院:机电工程学院姓名:孔德智学号:1100800719两位数字秒表设计1.实验目的1.进一步提高独立分析问题和解决问题的能力。
2.掌握数字系统的分析和设计方法。
3.对数字集成电路的综合应用有进一步的认识和理解。
2.总体设计方案或技术路线本实验要用同步加法计数器74LS161构成60进制加法计数器,并用555产生脉冲信号,共同构成=时钟信号生成的设计和计数器的设计。
555定时器简介:555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。
因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。
下图为用555定时器设计的多谐振荡器的电路图及其电路产生的波形。
由多谐振荡器原理,结合上图可知其振荡周期12T T T =+。
1T为电容充电时间,2T 为电容放电时间。
充电时间 11212()ln 20.7()T R R C R R C =+≈+ 放电时间 222ln 20.7T R C R C =≈矩形波的振荡周期121212ln 2(2)0.7(2)T T T R R C R R C =+=+≈+555组成的多谐振荡器实际电路参数的选择:由于实际电路所给的器件有限,其R1 = R2=500 K ,RC振荡器电容为1uF ,五号管脚所接的Cs为10PF。
所以其振荡周期为T ==1.5*0.7=1.05s,所以其周期为约为1s.74LS161简介:74LS161 为可预置的4 位二进制同步计数器,它可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能其管脚图如下:本次试验设计要设计60进制加法计数器,其大于一个74LS161的计数范围需要进行级联。
借助Cr对计数器清零,可以实现60进制的计数。
由于74LS161为异步加法计数器故需在低位的QC 、QB和高位的QB、QA进行与运算后进行与非运算后作为清零信号,于是得到下图的计数器。
倒计时数字秒表设计 (2)
课程设计任务书倒计时数字秒表设计摘要:本次课程设计以AT89S52单片机为核心设计一个倒计时数字秒表,计数初值为59并开始每秒自动减1,当按键1按下时记录当前时间值,当按键2按下时显示当前记录值,显示过之后再次按下按键1时秒表复位为59。
本设计硬件部分包括电源电路、复位电路、按键电路、振荡电路、数码管显示电路五部分电路,软件程序部分有定时中断程序、外部中断程序、显示子程序和延时子程序等。
软件Proteus画出原理图并进行仿真,依照仿真成功的原理图接线,在万能版上把个个器件焊接好从而实现预期的功能。
关键词:倒计时; AT89S52; 74LS47; 数码管目录1. 设计背景.......................................................................... 错误!未定义书签。
2. 设计方案.......................................................................... 错误!未定义书签。
2.1总体设计框图........................................................... 错误!未定义书签。
2.2时钟电路方案选择................................................... 错误!未定义书签。
2.3复位电路方案选择................................................... 错误!未定义书签。
2.4显示电路方案选择................................................... 错误!未定义书签。
3. 方案实施.......................................................................... 错误!未定义书签。
数字电路实验四:电子秒表
2、电子秒表的整体测试
各单元电路测试正常后,按总图把几个单 元电路连接起来,进行电子秒表的总体测试。
要求计时至少2分钟,利用参考时间源测试 其误差。
分析电子秒表误差原因。
4、自主练习 74ls90及74ls92各种进制级联。 例:2——10进制级联;
10——2进制级联; 上次布置的思考题
········
经过5分频,产生频率10HZ,周期0.1 S的计数时钟信号
T TW1 TW 2 TW1 0.7R1 R 2 C TW 2 0.7R2C
实验原理
现代电子技术实验
50HZ
5分频
10HZ
五、总电路图
现代电子技术实验
现代电子技术实验
六、实验内容
1、脉冲源的调测
现代电子技术实验
用示波器观察输出电压波形并测量其频率,调节 RW,使输出矩形波频率为50Hz,画出其波形。
产生清零信号单稳态电路来自实验原理 2、停止电路
Q=0
Q=1
现代电子技术实验
时钟信号
C
与
非 门 计数脉冲
若停止键按下, Q将变为0。
实验原理
停止电路
Q=01
Q=01
现代电子技术实验
时钟信号
C
与
非 门 无计数脉冲
停止键按下, Q=C变为0。
实验原理
现代电子技术实验
3、脉冲源电路
产生频率为50HZ,周期0.02 S的信号
2 、按下启动键开始清零计时,按 下停止键,停止。
三、实验框图
电子秒表的组成框图
现代电子技术实验
四、实验原理
Q=1
Q=0
现代电子技术实验
1、启动电路
R-S触发器
单片机秒表课程设计
《接口及控制技术》课程设计报告课程设计题目:秒表姓名:专业班级:指导教师:成绩:时间:2010-12-10一、设计要求用AT89C51设计一个2位的LED数码显示作为“秒表”:显示时间为00—99秒,每秒自动加1,另设计一个“开始”键和一个“复位”键。
二、实验原理题目难点在于通过对键盘的扫描对时钟的走时/停止进行控制,项目采用定时器T0作为计时器,每10ms发生一次中断,每100次中断加1s。
在此期间,如“开始”按键按下,程序方将TR0置为1,从而开启中断,时钟开始走时;如“复位”按键按下,程序将TR0置为0,同时将存储时间的变量清零,从而中断停止,并实现复位。
本题目采用专用数码管显示控制芯片MAX7219。
MAX7219是美国MAXIM公司生产的串行输入/输出共阴极显示驱动器,该芯片最多可驱动8位7段数字LED显示器或个LED 和条形图显示器。
其引脚图及引脚功能参见有关参考资料。
三、实验目的1、通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。
2、掌握定时器、外部中断的设置和编程原理。
3、通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。
四、意义该实验通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零功能,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义。
五、实验内容用AT89C51设计一个2位LED数码显示“秒表”,显示时间为00~99秒,每秒自动加一。
另设计一个“开始”K1按键和一个“复位”K2按键。
按键K1同时具有“暂停”功能。
按键说明:按“开始”K1按键,开始计数,数码管显示从00开始每秒自动加一;再次按K1按键,系统暂停计数,数码管显示当时的计数;按“复位”按键,系统清零,数码管显示00。
六、电路原理仿真图将硬件连线按上图所示连接,该实验要求进行计时并在数码管上显示时间,则可利用DVCC系列单片机微机仿真实验系统中的芯片AT89C51中的P1.0管脚做为外部中断0的入口地址,并实现“开始”按键的功能;将P3.3做为外部中断1的入口地址,并实现“清零”按键的功能.;定时器T0作为每秒加1的定时器。
数字秒表设计(两位)
1 设计目的电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子技术、数字电子技术课程结束后进行的教学环节。
其目的是:1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。
2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。
3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。
4、培养学生的创新能力。
2 设计要求1.秒表由2位七段LED显示器显示,其中1位显示“s”,二位显示“0.1s”,显示分辩率为0.1 s;2.计时最大值为9.9s;3.计时误差不得超过1s;具有清零、启动计时、暂停计时及继续计时等控制功能;4.主要单元电路和元器件参数计算、选择;5.画出总体电路图;6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。
焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。
7.调试电路8.电路性能指标测试9.提交格式上符合要求,内容完整的设计报告3总体设计3.1 工作流程图2图 1 工作流程图图1中1单元为用集成与非门74LS00构成的基本RS 触发器。
属低电平直接触发的触发器,有直接置位,复位的功能。
图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。
图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。
图1中4单元74LS160构成的计数器/分频器图1中5单元译码显示单元3.2 原理图:图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。
其中单元1为基本RS 触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。
双计时数字秒表电路设计
数字秒表可实现两组计时,计时范围为00'00''00(百分秒)~59'59''99;秒表具有“清零”、“启动”、“一次停表”、“二次停表”、“显示切”等操作功能,要求仅设置两个操作键,按“一次计时”或“两次计时”不同工作模式组合运用,实现上述操作。
通过此次创新实验提高设计电路分析电路的能力,通过练习电路的连接,加深对课本上知识的理解,以及知识的应用,锻炼了同学的动手能力以及焊接电路的能力
第二个控制键是控制一个东西,使其进行1和0的转换,其引出两个输出端,一个直接控制一个373的输出端,另一个经非门控制另一个373的输出端
2、实验内容
内容一:设计双计时秒表电路,运用Multisim软件进行设计。
1、计数部分
2、控制模块
3、运行中
一次停表
二次停表
内容二:根据仿真的电路图连接实物图
1、根据电路图找所需芯片。
锁存部分使用的是6个74HC373,通过控制其中3个373的输入控制端实现对数的锁存(即一次停表的时间点的锁存)。通过控制其输出控制端,进行一次和二次停表的时间点的切换。
第一个控制键是用来控制一个74HC160的,这个74HC160是被改装成只可计00、01、10和11,这4个状态,利用者四个状态的输出信号经过一些门电路的转换使其00为清零,01为开始计数,10为一次停表(表仍在走),11为二次停表(表停止)。
(4)、模块整合,将各个模块统一整合为一个整体。
(5)、总体运行仿真电路,进行细微改进及调试。
(6)、根据仿真电路图进行连接实物。
(7)、启动双计时秒表,进行实物实际测试。
五、实验结果与分析
1、实验现象、数据记录
数字秒表可实现两组计时,计时范围为00(秒)~59(由于所需器件量的问题,其它部分没做出来);秒表按键实现“清零”、“启动”、“一次停表”、“二次停表”、“显示切换”等操作功能。通过数码管显示时间。
用LED数码管显示的秒表设计
课程设计说明书用LED数码管显示的秒表设计专业新能源科学与工程学生姓名班级学号指导教师完成日期用LED数码管显示的秒表设计摘要:对采用LED数码管显示的秒表进行了设计。
所设计的秒表,可通过两位数码管显示00-59。
每秒自动加一。
在对系统功能分析的基础上,采用AT89C52单片机。
相对而言比较简单,贴近书本,比较熟悉。
对所要实现的功能也能很好地满足,焊接也比较简单。
设计主要采用硬件和软件两部分。
硬件包含数码管按钮模块、单片机控制模块、数码管显示模块、驱动电流放大模块。
按钮模块采用独立式按键,控制模块选用AT89C52单片机,显示模块采用两位数码管,放大模块选用NPN三极管。
软件采用模块化的程序,分为主程序和定时器计时服务子程序。
在多孔板上制作了LED数码管显示的秒表,使用protus完成了系统仿真,对硬件和软件部分分别进行了调试,进行了软硬件联调,最后调试成功样机实物,完成了毕业设计任务书的要求。
关键词:单片机;数码管;秒表A stopwatch design with LED digital tube displayAbstract: For the LED digital tube display of a stopwatch is used for design. The stopwatch, designed by two digital tube display 00 ~ 59. Automatically add a per second.On the basis of the analysis of system function, using the AT89C52 single chip microcomputer. Relatively simple, close to the book, familiar with. To in order to realize the function also can well satisfy, welding is more simple. The design mainly adopts two parts of hardware and software. Hardware consists of digital tube button module, single-chip microcomputer control module, digital tube display module, the drive current amplifier module. Button module USES the independent type key, choose AT89C52 single chip microcomputer control module, using two digital tube display module, amplifier module selects the NPN transistor. Software adopts the modular program, main program and timer timing service subroutine.On the perforated plate made of LED digital tube display a stopwatch, use protus completed system simulation, the hardware and the software part, has carried on the debugging, the software and hardware alignment, the final debugging success physical prototype, completed the graduation design specification requirements.Key Words:Single chip microcomputer; Digital tube; A stopwatch.用LED数码管显示的秒表设计目录1. 概述 (1)1.1 课题研究背景与意义 (1)1.2 课题设计内容 (1)2. 系统设计 (1)2.1 设计方案论证 (1)2.2 系统硬件设计 (2)2.2.1 主控模块 (2)2.2.2 秒表按钮模块 (4)2.2.3 LED数码管显示模块 (5)2.2.4 放大模块 (6)2.3.1 主程序模块 (6)2.3.2 定时器中断程序 (6)3. 系统调试 (8)3.1 硬件调试 (8)3.1.1 静态检查 (8)3.1.2 通电检查 (8)3.2 软件调试及软硬件联调 (8)3.2.1仿真调试 (8)3.2.2 实物调试 (9)3.2.3 实验结果 (9)4. 结束语 (11)附录1:用LED数码管显示的秒表设计原理图图纸 (15)附录2:用LED数码管显示的秒表设计的元器件目录表 (16)附录3:用LED数码管显示的秒表设计程序清单 (17)盐城工学院课程设计说明书( 2015)用LED数码管显示的秒表设计1.概述1.1 课题研究背景与意义随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。
用单片机AT89C51设计一个2位的LED数码显示作为“秒表”—单片机课程设计
目录一、设计题目和要求: (2)二、设计目的: (2)三、设计内容: (3)四、课程设计心得体会 (25)五、参考文献 (26)六、课程设计指导教师评审标准及成绩评定 (27)附件1:秒表原理图(实际接线图) (28)附件2:仿真图1 (30)附件3:仿真图2 (31)一、设计题目和要求:题目三:秒表应用AT89C51的定时器设计一个2位的LED数码显示作为“秒表”:显示时间为00~99s,每秒自动加1,设计一个“开始”键,按下“开始”键秒表开始计时。
设计一个“复位”键,按下“复位”键后,秒表从0开始计时。
任务安排:李座负责绘制电路原理图;梁宗林负责收集资料及电子版整理;付忠林负责程序和仿真。
二、设计目的:1.进一步掌握AT89C51单片机的结构和工作原理;2.掌握单片机的接口技术及外围芯片的工作原理及控制方法;3.进一步掌握单片机程序编写及程序调试过程,掌握模块化程序设计方法;4.掌握PROTEUS仿真软件的使用方法;5.掌握LED数码管原理及使用方法。
6.掌握定时器、外部中断的设置和编程原理。
7.通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。
8.该课程设计通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的计时、暂停、清零、复位功能,并同时可以用数码管显示。
三、设计内容:了解8051芯片的的工作原理和工作方式,使用该芯片对LED数码管进行显示控制,实现用单片机的端口控制数码管,显示分、秒,并能用按钮实现秒表起动、停止、清零功能,精确到1秒。
AT89C51单片机的主要工作特性:·内含4KB的FLASH存储器,擦写次数1000次;·内含28字节的RAM;·具有32根可编程I/O线;·具有2个16位可编程定时器;·具有6个中断源、5个中断矢量、2级优先权的中断结构;·具有1个全双工的可编程串行通信接口;·具有一个数据指针DPTR;·两种低功耗工作模式,即空闲模式和掉电模式;·具有可编程的3级程序锁定定位;AT89C51的工作电源电压为5(1±0.2)V且典型值为5V,最高工作频率为24MHz.AT89C51各部分的组成及功能:振荡器和时钟电路数据存储器128字节程序存储器14KBCPU 两个16位定时器计数器中断控制总线扩展控制器并行可编程I/O口可编程串行口内部总线外部中断扩展控制P0 P1 P2 P3 RXD TXD1.单片机的中央处理器(CPU )是单片机的核心,完成运算和操作控制,主要包括运算器和控制器两部分。
双计时数字秒表电路设计
2013——2014学年第2学期山东科技大学电工电子实验教学中心创新性实验研究报告实验项目名称双计时数字秒表电路设计组长姓名单旭东学号联系电话E-mail成员姓名王秋淞学号专业电气工程及其自动化(定单)班级2012级1班指导教师及职称孙皓副教授2014年 6 月21 日本实验设计的秒表具有清零、启动、一次计时、停止计时、切换显示的功能。
计时精确度为10ms。
使用两个操作键控制秒表,按键1控制秒表的启动、停止和清零,按键2控制秒表的一次记时和切换显示。
使用74LS160十进制计数器实现计时,脉冲频率为100Hz,使用74LS373存储一次记时和停止时的时间,使用74LS76实现各个状态的转换。
二、实验目的1、使用基本的数字组合逻辑器件和时序逻辑器件完成双计时秒表的设计。
2、掌握各种逻辑器件的功能。
3、掌握multisim仿真软件的使用。
三、实验场地及仪器、设备和材料:实验场地电子技术实验室1实验设备数字电子实验箱数字万用表实验器件74LS160 74LS373 74LS00 74LS76四、实验内容1、实验原理(1)计数器部分使用4片74LS160同步级联构成6000进制计数器,级联方式如下(2)锁存器B用于记录秒表停止时的时间,使用两片74LS373,输入端分别与计数器的16个输出端相连,输出端连接七段显示译码器。
锁存器B输入控制(ENG)始终为高电平,当锁输出控制(~OC)为低电平时,输出数据即为输入数据,当输出控制—(~OC)为高电平时,输出为高阻态。
(3)锁存器A用于记录秒表一次计时的时间,使用两片74LS373,输入端与输出端均与锁存器B 相同。
锁存器A的输出控制(~OC)与锁存器B相反,即锁存器A与B在某一刻只有一个可以输出数据到七段译码显示器,另一个输出为高阻态。
当锁存器A输出控制(~OC)为低电平输入控制(ENG)高电平时,输出与输入相同,当输入控制(ENG)为低电平时,输出为输入控制(ENG)由高电平变为低电平时所记录的数据。
2位数字显示控制
2位数字显示控制------00-59秒计时器
一.实验任务
如下图所示,在AT89S51单片机的P0和P2端口分别接有两个共阴数码管,P0口驱动显示秒时间的十位,而P2口驱动显示秒时间的个位。
二.电路原理图
三.程序设计内容
1.在设计过程中我们用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,重新秒计数。
2.对于秒计数单元中的数据要把它十位数和个数分开,方法仍采用对10整除和对10求余。
3.在数码上显示,仍通过查表的方式完成。
4.一秒时间的产生在这里我们采用软件精确延时的方法来完成,经过精确计算得到1秒时间为1.002秒。
DELY1S: MOV R5,#100
D2: MOV R6,#20
D1: MOV R7,#248
DJNZ R7,$
DJNZ R6,D1
DJNZ R5,D2
RET
四.程序框图
五.汇编源程序
六.思考
换成59-00倒计时,试编写程序并调试。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
姓名 刘宇超 班级 1208302 学号 1120830217
实验日期 节次 教师签字 成绩
两位数字秒表设计
1.实验目的
1)掌握555定时器和74LS161计数器的使用方法。
2)进一步熟悉各种仪器的操作方法。
3)提高独立分析问题和解决问题的能力。
2.总体设计方案或技术路线
本实验要用同步加法计数器74LS161构成60进制加法计数器,并用555产生脉冲信号,共同构成时钟信号生成的设计和计数器的设计。
555定时器简介:
555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。
因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。
下图为用555定时器设计的多谐振荡器的电路图及其电路产生的波形。
由多谐振荡器原理,结合上图可知其振荡周期。
为电容充电时间,为电容放电时间。
充电时间 11212()ln 20.7()T R R C R R C =+≈+
放电时间222ln 20.7T R C R C =≈
矩形波的振荡周期121212ln 2(2)0.7(2)T T T R R C R R C =+=+≈+
555组成的多谐振荡器实际电路参数的选择:由于实际电路所给的器件有有限其中,R1=510K Ω,R2=470K Ω,RC 震荡器电容C2=1 uF 。
所以得到其振荡周期
T=0.7(0.51+0.47x2)=1.015s,约为1s。
74LS161简介:
74LS161 为可预置的4 位二进制同步计数器,它可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能。
本次试验设计要设计60进制加法计数器,其大于一个74LS161的计数范围需要进行级联。
借助Cr对计数器清零,可以实现60进制的计数。
由于74LS161为异步加法计数器故需在低位的QC、QB 和高位的QB、QA 进行与运算后进行与非运算后作为清零信号,于是得到下图的计数器。
3.实验电路图
4.仪器设备名称、型号和技术指标
555定时器*1、74LS161计数器*2、74LS00N*3
5.理论分析或仿真分析结果
实验成功,得到想要的结果,能够实现60进制的秒表计数功能
6.详细实验步骤及实验测量数据记录(包括各仪器、仪表量程及内阻的记录)
实验步骤:
(1)按数字电子秒表设计电路图搭接好设计电路;
(2)用示波器观察555第3管脚输出的矩形脉冲,调电路中的RP使555输出冲为T为1s左右;
(3)S1闭合,检查第1块计数器QD端应有周期为0.1秒的脉冲输出,S1断开QD端无脉冲输出;
(4)S2断开秒表应清为00,S2接通(S1闭合),秒表应开始计数。
7.实验结论
实验成功,能够达到预期目标,从555输出周期为1s左右的方波脉冲,低位计数器计数,显示器显示“9”后,高位显示“1”的同时低位显示“0”,以此循环计数,到达59后置零重新开始计数。
8.实验中出现的问题及解决对策
在第一次试验中,低位的“9”及进位同时发生,即高位的“1”和低位的“9”会同时发生。
然后进行调试:
(1)将U6A的输入端直接接到七段显示器的1010上,发现在“9”后正常进位,但是在应该显示“0”的时候出现了“A”,接着才是“0”,失败;
(2)将U4B的输入端直接接到七段显示器的1001上,来控制进位,得到想要的结果,成功。
9.本次实验的收获和体会、对电路实验室的意见或建议
可以看到设计的电路能够完成设计题目的基本要求,而其改进方法,主要是在同步计数器在进位时的不可靠而加以改进,采用直接输入的方式,使进位不受其他干扰,达到了实验预先的期望,提高了进位的可靠性。
通过此次设计,加深了对555定时器的工作原理及由其构建电路的认识,掌握如何用其搭建多谐振荡器。
对计数器有了进一步的理解,尤其对异步清零来说,确保其清零的可靠性是相当有必要的,而本实验采用的同步置数也让我有了更深的体会,同时对进位的探究与实验让我对电路的设计其有了进一步的理解。
10.参考文献
邓玉元蒋卓勤. 2003. Multisim 2001及其在电子设计中的应用. 西安: 西安电子科技大学出版社.
康华光. 2000. 电子技术基础数字部分(第四版). 北京:高等教育出版社.
刘修文. 2005. 实用电子电路设计制作. 中国电力出版社.
蔡忠法. 2005. 电子技术试验与课程设计. 浙江大学出版社.。