利用拨码开关控制数码管进行十六进制数字显示
拨码开关输入数码管显示实验
综合课程设计实验报告班级:姓名:学号:11指导老师:实验名称:拨码开关输入数码管显示实验实验要求:1. 掌握数码管显示原理2. 掌握拨码开关工作原理3. 通过FPGA用拨码开关控制数码管显示实验目标:4位拨码开关分别对应4位数码管,拨动任意1位开关,对应的数码管将显示数字1,否则显示数字0。
实验设计软件Quartus II实验原理1.数码管显示模块电路原理图:如图所示,数码管中a,b,c,d,e,f,g,dp分别由一个引脚引出,给对应的引脚高电平,则对应引脚的LED点亮,故我们在程序中可以设定一个8位的二进制数reg【7:0】h,每一位对应一个相应的引脚输出,那么我们就可以通过对x的赋值,控制对应的8个LED亮灭的状态进行数字显示。
例如,如果我们显示数字2,则在数码管中,a、b、d、e、g亮,c、f、dp不亮,则显示的是数字2,即h=’b代表显示数字2。
2.拨码开关模块电路原理图:拨码开关有8个引脚,每个引脚对应于数码管的一个LED灯,当拨码开关的一个引脚是高电平时,则对应的数码管一个LED灯亮,其他7个LED等不亮。
通过此原理来实现数码管的LED灯亮暗情况从而实现数码管的数字显示。
例如当第一个拨码接通时,此时输入信号为8'b对应的数码管的输出信号为out=8'b,此时相当于数码管a,b,c,d,e,f,g亮,7段数码管全部显示,显示的数字为8。
程序代码module bomakaiguan(out,key_in,clk);assign p='b1111;output[7:0] out=8'b;input[7:0] key_in;input clk;reg[7:0] out;always @(posedge clk)begincase(key_in)8'b: out=8'b;8'b00000001: out=8'b01100000;8'b00000010: out=8'b;8'b00000100: out=8'b;8'b00001000: out=8'b01100100;8'b00010000: out=8'b;8'b00100000: out=8'b;8'b01000000: out=8'b;endcaseendendmodule。
数码管显示十六进制编码
共阳极数码管共阴极数码管文案编辑词条B 添加义项?文案,原指放书的桌子,后来指在桌子上写字的人。
现在指的是公司或企业中从事文字工作的职位,就是以文字来表现已经制定的创意策略。
文案它不同于设计师用画面或其他手段的表现手法,它是一个与广告创意先后相继的表现的过程、发展的过程、深化的过程,多存在于广告公司,企业宣传,新闻策划等。
基本信息中文名称文案外文名称Copy目录1发展历程2主要工作3分类构成4基本要求5工作范围6文案写法7实际应用折叠编辑本段发展历程汉字"文案"(wén àn)是指古代官衙中掌管档案、负责起草文书的幕友,亦指官署中的公文、书信等;在现代,文案的称呼主要用在商业领域,其意义与中国古代所说的文案是有区别的。
在中国古代,文案亦作" 文按"。
公文案卷。
《北堂书钞》卷六八引《汉杂事》:"先是公府掾多不视事,但以文案为务。
"《晋书·桓温传》:"机务不可停废,常行文按宜为限日。
" 唐戴叔伦《答崔载华》诗:"文案日成堆,愁眉拽不开。
"《资治通鉴·晋孝武帝太元十四年》:"诸曹皆得良吏以掌文按。
"《花月痕》第五一回:" 荷生觉得自己是替他掌文案。
"旧时衙门里草拟文牍、掌管档案的幕僚,其地位比一般属吏高。
《老残游记》第四回:"像你老这样抚台央出文案老爷来请进去谈谈,这面子有多大!"夏衍《秋瑾传》序幕:"将这阮财富带回衙门去,要文案给他补一份状子。
"文案音译文案英文:copywriter、copy、copywriting文案拼音:wén àn现代文案的概念:文案来源于广告行业,是"广告文案"的简称,由copy writer翻译而来。
多指以语辞进行广告信息内容表现的形式,有广义和狭义之分,广义的广告文案包括标题、正文、口号的撰写和对广告形象的选择搭配;狭义的广告文案包括标题、正文、口号的撰写。
利用键盘开关控制液晶显示器进行十六进制数字显示
中北大学课程设计说明书学生姓名:张彪学号:0706024146学院: 电子计算机科学与技术学院专业: 微电子学题目: 利用键盘开关控制液晶显示器进行十六进制数字显示指导教师:王红亮职称: 讲师2010 年 6 月 25 日目录1、课程设计的目的 (2)2、课程设计内容和要求 (2)2.1、课程设计内容 (2)2.2、课程设计要求 (2)3、设计方案及实现情况 (2)3.1、设计思路 (2)3.2、工作原理及框图 (3)3.3、各模块功能描述 (3)3.4、程序VHDL语言源代码 (4)3.5、仿真结果 (12)3.6、实验箱验证情况 (13)4、课程设计总结 (16)5、参考文献 (17)6、附录:液晶显示器简介 (17)1、课程设计的目的(1)学习操作数字电路设计实验开发系统,掌握液晶显示模块的工作原理及应用。
(2)掌握组合逻辑电路、时序逻辑电路的设计方法。
(3)学习掌握可编程器件设计的全过程。
2、课程设计的内容和要求2.1、课程设计内容(1)学习掌握键盘开关控制模块、液晶显示模块的工作原理及应用;(2)熟练掌握VHDL编程语言,编写键盘开关控制模块的控制逻辑;(3)仿真所编写的程序,模拟验证所编写的模块功能;(4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;(5)整理设计内容,编写设计说明书。
2.2、课程设计要求(1)本课程设计说明书。
(2)VHDL源程序及内部原理图。
(3)该设计可以在实验箱上正常工作并演示。
3、设计方案及实现情况3.1、设计思路本次设计要求利用VHDL语言编写源程序,利用键盘控制液晶显示十六进制数。
因此,本次设计的程序利用矩阵键盘模块产生的按键信号来控制OCMJ模块显示0~F十六进制数。
当程序被下载到FPGA中,首先进行上电自复位,接着检测是否有按键按下,并判读是否是一个干扰,如果确定是0~F中的某一按键按下,则程序将检测OCMJ的BUSY信号是否为高,若为高,则继续等待,否则将按键对应的地址送入OCMJ模块的存储单元中,而液晶模块也会置REQ信号为高电平,完成一次握手,同时FPGA向OCMJ发送一个四字的命令,分别是显示8*8ASCII码命令F1、显示坐标值XX\YY,以及按键的键值QQ。
单片机原理及接口技术复习题(带答案)
27、下列单片机中,哪一个芯片的 EA 端需接低电平才能正常使用( D ) 。 A、89C51 B、8051 C、89S51 D、8031 28、改变 Fosc 的大小可以影响定时/计数器的( C ) 。 A、计数初值 B、定时初值 C、定时时长 D、计数范围 29、若要使单片机处于复位状态,则应在 RST 引脚端加一( D )信号。 A、低电平 B、负电压 C、正弦波 D、高电平 30、MOVC A,@A+DPTR 的寻址方式是( C ) 。 A、寄存器间接寻址 B、立即寻址 C、变址寻址 D、相对寻址 31、下列指令中,能实现 A=100 功能的指令是( D ) 。 A、MOV A,@R1+100 B、MOV A,#100H C、MOVX A,100 D、MOV A,#100 32、指令 SETB EX1 表示开放( B )中断。 A、定时/计数器 T0 B、外中断 1 C、定时/计数器 T1 D、外中断 0 33、8 位模数转换芯片 ADC0809,基准电压为 2.55V,如输入的模拟电压为 1.2V,则输出的 数字量为( D ) 。 A、100 B、10000000B C、F0H D、120 34、在 MCS-51 单片机的定时/计数器中, ( B )定时的时间最长。 A、方式 0 B、方式 1 C、方式 2 D、方式 3 35、通常情况下,若要通过单片机 I/O 口直接驱动数码管实现 8 位数字动态扫描显示,则需要 ( C )根数据线。 A、18 B、32 C、16 D、64 36、单片机定时器方式设置为方式 1,定时时长为 10ms,fosc=12MHz,如此时需将定时时间 变短,则需( C ) 。 A、仅减小定时器初值 B、仅减小外接晶体振荡器频率 C、仅增大定时器初值 D、同时减小外接晶体振荡器频率及定时器初值 37、要在摄氏 100°C 的环境下使用单片机,则应选用( A ) 。 A、军用级 B、民用级 C、商业级 D、工业级 38、改变 Fosc 的大小可以影响定时/计数器的( D ) 。 A、计数初值 B、定时初值 C、计数范围 D、定时时长 39、若要使单片机处于复位状态,则应在 RST 引脚端加一( A )信号。 A、高电平 B、负电压 C、正弦波 D、低电平 40、MOVC A,@A+DPTR 的寻址方式是( D ) 。 A、寄存器间接寻址 B、立即寻址 C、相对寻址 D、变址寻址 41、下列指令中,能实现 A=128 功能的指令是( A ) 。 A、MOV A,#80H B、MOV A,#128H C、MOVX A,128 D、MOV A,@R1+128 42、某同学用单片机定时器设计了电子钟,但发现每天走时慢 1 分钟,若要解决该问题,则 应( B ) 。 A、减小定时初值 B、加大定时初值 C、加入延时程序 D、降低晶振频率 43、8 位模数转换芯片 ADC0809,基准电压为 2.55V,如输入的模拟电压为 2.4V,则输出的 数字量为( C ) 。 A、220 B、10000000B C、F0H D、FFH 44、用单片机的定时/计数器做一频率计,Fosc=12MHz,若要使最高测量频率达到 3.5MHz,
实验二 查表程序实现LED数字显示
实验二查表程序实现LED数字显示一、实验要求通过拨码开关表示16进制数0—F,利用LED数码管显示该数字。
包括硬件电路和程序设计。
二、实验目的理解8段LED数码管的显示原理和LED显示的转化方法,学习查表程序的设计。
三、实验原理图2-1 8段LED数码管显示原理和笔画分布由电路图2-2可以看出,这里需要通过传送指令将P1口拨码开关的状态读入累加器A,利用查表指令转换为LED数码管的显示笔画代码,再传送到P2口驱动数码管。
所谓显示代码就是根据该数字的笔画确定LED数码管中8个笔画段a—h中的哪些段点亮,如数字1要求点亮笔画b和c。
我们可以根据数字与笔画的对应关系列出各数字的笔画代码真值表如表2-1所示,在每个数字需点亮的笔画处填入1,不需要点亮的位填入0,然后转换为16进制数就是该数字的显示代码。
若采用共阳极LED,则由于是0点亮,显示代码也就不同,笔画真值表与表2-1相反。
显然,若各笔画连接的口线不同,显示代码也不同。
图2-2 通过LED数码管显示数字电路图表2-1 LED笔划显示真值表(共阴)有了代码表,还需要通过查表程序将数字转换为显示代码。
查表程序一般是将表格中数据顺序放置在程序存储器的某个区域中,形成一数据表。
程序中需要查表时,将表格首地址送入数据指针DPTR,即使DPTR指向表格首地址,在A中放入待查表格项的序号,例如要显示2,利用“MOVC A,@A+DPTR”指令,即以(A+DPTR)为地址,将数据表中第2字节的表格项数据5BH取出放入A,从而实现查得2的显示代码5BH,然后送端口P2驱动笔画段显示出数字2。
四、实验步骤1.在Proteus ISIS中设计硬件电路(参考图2-2)(1)选择电路元器件并放入原理图编辑区:单片机:AT89C52。
一位共阴极数码管:7SEG-COM-CAT-GRN。
8位拨码开关:DIPSWC_8。
接地:Ground(Terminal模型中)。
(2)参考图2-2完成原理图。
stm32数码管小数点16进制
一、介绍STM32是一种32位微控制器,其内部集成了大量专用硬件模块,可用于各种应用领域,包括电子设备、工业控制和通信设备等。
其中,数码管是一种常见的输出设备,用于显示数字和特定符号。
在本文中,我们将探讨如何在STM32上控制数码管显示小数点的16进制数值。
二、STM32数码管控制原理1.数码管数码管是一种由多个发光二极管组成的显示装置,通常用于显示数字和一些特定的符号。
在STM32中,数码管可以通过连接到对应的GPIO引脚来进行控制。
2.16进制数值16进制数是一种常见的计数系统,使用0-9和A-F表示数字0-15。
在控制数码管上显示16进制数值时,需要将每一位数分别转换为对应的二进制形式,然后依次控制数码管显示。
3.STM32数码管控制通过设置STM32的GPIO引脚状态,可以控制数码管的亮灭,从而实现对数码管的控制。
需要根据具体的数码管型号和连线方式来确定对应的GPIO引脚。
三、实现步骤1.确定数码管类型和引脚连接首先需要确定使用的数码管型号和对应的引脚连接方式,以便后续的程序设计和控制。
2.编写控制程序根据数码管的类型和引脚连接方式,编写STM32的控制程序。
首先需要将16进制数值转换为对应的二进制形式,然后根据每一位数的二进制形式设置对应的引脚状态,以控制数码管的显示。
3.调试和验证编写完成控制程序后,需要进行调试和验证。
通过调试工具和逻辑分析仪等设备,检查程序的运行状态和数码管的显示效果,确保程序的正确性。
四、实例演示以下是一个简单的例子,演示如何在STM32上控制数码管显示小数点的16进制数值。
```c#include "stm32f4xx.h"#include <stdio.h>// 数码管引脚定义#define DIGIT1_PIN GPIO_Pin_0#define DIGIT2_PIN GPIO_Pin_1#define DIGIT3_PIN GPIO_Pin_2#define DIGIT4_PIN GPIO_Pin_3#define SEGMENT_A_PIN GPIO_Pin_4#define SEGMENT_B_PIN GPIO_Pin_5#define SEGMENT_C_PIN GPIO_Pin_6#define SEGMENT_D_PIN GPIO_Pin_7// ... 其他引脚定义// 将16进制数值转换为数码管显示void display_hex_number(uint16_t number) { // 转换为对应的二进制形式uint8_t digit1 = (number 0x000F) >> 0; uint8_t digit2 = (number 0x00F0) >> 4;// 设置数码管显示GPIO_SetBits(GPIOA, DIGIT1_PIN);GPIO_ResetBits(GPIOB, SEGMENT_A_PIN); // ... 设置其他引脚状态}int m本人n() {// 初始化GPIO引脚GPIO_Init();// 显示16进制数值display_hex_number(0x1234);while(1) {// 循环执行其他任务}}```在这个例子中,我们通过display_hex_number函数将16进制数值0x1234转换为对应的数码管显示。
四位拨动开关控制一位数码管显示
课程设计说明书课程名称:通信电子线路设计题目:四位拨动开关控制一位数码管显示院系:电子信息与电气工程学院学生姓名:学号:专业班级:指导教师:2012年 5 月18 日课程设计任务书设计题目四位拨动开关控制一位数码管显示学生姓名所在院系电子信息与电气工程学院专业、年级、班设计要求:1.具有电源开关及指示灯,有复位按键;2.用DIP开关的低四位为输入,控制输出端数码管显示器的输出。
实现如下功能:上电后默认为“8”,调整4位DIP开关按二进制输入,按确定键后数码管显示对应的数字“0”-“F”。
学生应完成的工作:学生应完成的工作:1)通过单片机原理课程设计,使之较系统地、全面地掌握单片机应用系统的基本设计方法,设计步骤,熟悉和掌电路参数的计算。
2)多位同学共同研究设计出最佳方案。
3) 通过查阅手册和文献资料,培养学生分析和解决实际问题的能力与技巧。
4) 进一步熟悉软件的正确使用方法,原理图设计。
5) 学会撰写课程设计总结报告。
6) 培养严肃认真的工作作风和严谨的科学态度。
参考文献阅读:[1] 张毅刚.单片机原理及应用.北京:高等教育出版社,2009.11[2] 杜树春.单片机C语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6[3] 谢嘉奎.电子线路(第四版).北京:高等教育出版社,2004.[4] 臧春华.电子线路设计与应用.北京:高等教育出版社,2005.工作计划:5月7日至11日――――原理图设计 5月12日至13日――――程序设计5月14日至16日――――电路安装 5 月17日――――——电路调试5月18日――――设计验收、设计报告任务下达日期:2012年 5 月7 日任务完成日期:2012年 5 月18 日指导教师(签名):学生(签名):四位拨动开关控制一位数码管显示摘要:以AT89S52芯片为核心,辅以必要的电路,设计了一个简易的控制电路,它由5V直流电源供电,用DIP开关的低四位为输入,控制输出端数码管显示器的输出。
七段数码管显示16进制计数器
七段数码管显示16进制计数器的VHDL设计一、实验目的:1、熟悉QuartusII的使用2、掌握七段数码管的VHDL设计3、掌握16进制计数器的VHDL设计4、元件例化语句的使用二、实验内容:用VHDL语句进行七段数码管、16进制计数器和七段数码管显示16进制计数器的设计,用VHDL写成的七段数码管及16进制计数器进行打包并在七段数码管显示16进制计数器进行调用。
用元件调用语句、例化语句来描述七段数码管显示16进制计数器的顶层文件。
也要用VHDL进行波形的仿真,然后把仿真得到的波形与理论上的真值表进行比较看是否一致。
三、实验条件:(1)、开发软件:QuartusII(2)、实验设备:计算机(3)、所用芯片:Altera公司Cyclone系列的EP1C3T144C8芯片四、实验设计:程序框图七段数码管VHDL源程序:library ieee;use ieee.std_logic_1164.all;entity decl7s isport(d:in std_logic_vector(3 downto 0);led:out std_logic_vector(6 downto 0)); end;architecture a of decl7s isbeginprocess(d)begincase d iswhen"0000"=>led<="0111111"; when"0001"=>led<="0000110"; when"0010"=>led<="1011011"; when"0011"=>led<="1001111"; when"0100"=>led<="1100110"; when"0101"=>led<="1101101"; when"0110"=>led<="1111101"; when"0111"=>led<="0000111"; when"1000"=>led<="1111111"; when"1001"=>led<="1101111"; when"1010"=>led<="1110111"; when"1011"=>led<="1111100"; when"1100"=>led<="0111001"; when"1101"=>led<="1011110"; when"1110"=>led<="1111001"; when"1111"=>led<="1110001";when others=>null;end case;end process;end a;16进制计数器VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT16 isport( CLK,RST,EN: in std_logic;CQ: OUT std_logic_vector(3 downto 0); COUT:OUT std_logic);end CNT16;architecture behav of CNT16 isbeginprocess(CLK,RST,EN)VARIABLE CQI:std_logic_vector(3 downto 0); beginif RST='1' then CQI:=(others=>'0');elsif CLK'event and CLK='1' thenif EN='1'thenif CQI<15 THEN CQI:=CQI+1;end if;else CQI:=(others=>'0');end if;end if;end if;if CQI=15 THEN COUT<='1';else COUT<='0';CQ<=CQI;end process;end architecture behav;七段数码管显示16进制计数器VHDL源程序library ieee;use ieee.std_logic_1164.all;entity qdshmg isPORT (clk1,rst1,en1 : IN STD_LOGIC;cout1: OUT STD_LOGIC;led1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));end;architecture one of qdshmg iscomponent CNT16port( CLK,RST,EN: in std_logic;CQ: OUT std_logic_vector(3 downto 0);COUT:OUT std_logic);end component;component decl7sPORT(d:IN STD_LOGIC_VECTOR(3 DOWNTO 0);led:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));end component;SIGNAL e: STD_LOGIC_vector(3 downto 0);BEGINu1 : CNT16 PORT MAP(CLK=>clk1,RST=>rst1,EN=>en1,CQ=>e,COUT=>cout1); u2 : decl7s PORT MAP(d=>e,led=>led1);END ARCHITECTURE one;七段数码管仿真波形:七段数码管显示16进制计数器仿真波形:16进制计数器仿真波形:五、实验结果及总结:16进制计数器的程序并生成仿真波形;1:完成七段数码管的程序并生成仿真波形;2:在同一个文件夹下根据七段数码管的程序和16进制计数器的程序完成七段数码管显示.。
16位旋转拨码开关使用说明
16位旋转拨码开关使用说明
16位旋转拨码开关是一种用于设定多种不同状态的开关。
在使用16位旋转拨码开关时,需要根据需求将开关旋转到合适的位置,以达到所需的状态。
以下是16位旋转拨码开关的使用说明:
1. 首先,确保开关处于关闭状态,即所有旋钮均向下。
2. 根据需要,将旋钮旋转到期望的状态。
每个旋钮代表一位二进制码,共有16个旋钮,可组成一个16位的二进制数。
3. 每个旋钮有两个状态,向上表示1,向下表示0。
可以根据不同的需要,将每个旋钮设置为1或0。
4. 通过调整每个旋钮的位置,可以产生不同的组合,从而形成不同的状态。
根据旋转拨码开关的输入电路设计,每个状态都会对应不同的输出。
5. 在完成设定后,确保所有旋钮处于正确的位置,并稳定。
6. 连接开关的输入电路,并确保电路正确接通。
7. 完成连接后,可以观察输出电路的状态,以验证是否达到了预期的结果。
请注意,16位旋转拨码开关的使用方法可能有所不同,具体操作方法可能会因开关型号或厂商而异。
因此,在使用时最好参考使用手册或联系厂商获取更详细的使用说明。
EDA实验报告31_十六进制7段数码管显示译码设计
实验结果及分析
1.十六进制计数器计数到“1111”时cout产生进位信号
2.每一次计数对应数码管不同的显示译码输出,由顶层文件nt_7seg_top.vhdl的时序仿真波形图可见,当数码管显示到“71”即对应的十六进制“F”时,计数值清零(rst0 <= ‘0’),数码管重新从“3F”即‘1’递增至‘F’循环显示。
2.输出信号LED_7seg的7位分别接数码管的7个段a-b-c-d-e-f-g(-h),高位在左,低位在右(如果有小数点,增加h段)。由于使用的是共阴极数码管,各个段接高电平时发光,低电平不亮。
实验内容
实验一:十六进制7段数码显示译码设计
1.用VHDL文本输入方法,完成十六进制计数器和7段数码显示译码电路设计
EDA技术与应用实验报告
姓名
学号
专业年级
电子信息工程
实验题目
十六进制7段数码显示译码设计
实验目的
1.学习7段数码显示译码设计和硬件验证
2.通过VHDL语言设计7段数码显示译码电路,进一步掌握层次化设计方法
实验原理
1.数字系统中的数据处理和运算都是二进制的,输出表达为十六进制数。为了满足十六进制数的译码显示,可利用译码程序在FPGA/CPLD中来实现
3.将clk0接到78脚的时钟输出端,可以获得0-1MHz的时钟调节范围,这解决了数码管循环显示过快,看不清译码输出的问题
附录
(原理图或VHDL代码)
实验过程中所遇到的问题及相应的解决方法
利用拨码开关控制数码管进行十六进制数字显示
中北大学课程设计说明书学生姓名:王白军学号:0706024150学院: 电子与计算机科学技术学院专业: 微电子学题目: 利用拨码开关控制数码管进行十六进制数字显示指导教师:王红亮职称: 讲师2010 年 6 月 25 日目录1. 课程设计目的 (2)2. 课程设计内容和要求 (2)2.1 设计内容 (2)2.2 设计要求 (2)3. 设计方案及实现情况 (2)3.1 设计思路求 (2)3.2 工作原理及框图 (2)3.3 模块功能描述 (4)3.4 仿真结果 (5)3.5 实验箱验证情况 (5)4. 课程设计总结 (8)5. 参考文献 (8)1.课程设计目的(1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。
(2)掌握组合逻辑电路、时序逻辑电路的设计方法。
(3)学习掌握可编程器件设计的全过程。
2.课程设计内容和要求2.1设计内容利用拨码开关控制数码管进行十六进制数字显示。
2.2设计要求(1)学习掌握拨码开关控制模块、数码管的工作原理及应用;(2)熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;(3)仿真所编写的程序,模拟验证所编写的模块功能;(4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;(5)整理设计内容,编写设计说明书。
3.设计方案及其实现3.1 设计思路由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。
通过对不同管脚的点亮,使数码管显示16进制的每个数字。
可以用四个拨码开关组合成4位BCD以表示16进制。
当四位BCD变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。
3.2 工作原理及框图七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。
在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。
由于只需要16个数的显示。
那么拨码开关只需用四个就可以实现16个数字的表示。
实验二 数字量输入与数字量输出
//void Delay(unsigned int nTime); void main(void) { //long i; InitSysCtrl(); InitXintf16Gpio(); DINT; InitPieCtrl(); IER = 0x0000; IFR = 0x0000; while (1) { LED=DIP; } }
内容二:拨码开关控制实验
【实验目的】 1. 了解ICETEK–F28335-A 评估板在TMS320F28335DSP 外部扩展存储空间上的扩
展。 2.了解ICETEK–F28335-A 评估板上拨码开关扩展原理。 【实验设备】 计算机,ICETEK-F28335-A 实验箱。 【实验原理】 1. ICETEK–F28335-A 评估板在扩展接口上除了扩展了片外SRAM 外,还扩展了 指示灯和DIP 开关等设备。具体扩展地址如下: 0பைடு நூலகம்180001: 板上 DIP 开关控制寄存器 0x180000: 板上指示灯控制寄存器 2.拨码开关扩展原理
【实验要求】 1. 编写程序实现将0-F 和F-0 十六进制代码送到指示灯显示。 2. 编写程序实现小灯从左到右循环显示。
3. 编写程序实现小灯从右到左循环显示。 #include "DSP2833x_Device.h" // DSP2833x Headerfile Include File #include "DSP2833x_Examples.h" // DSP2833x Examples Include File #define LED (*(unsigned short int *)0x180000) #define SRAM_Base_Adress 0x100000 void Delay(unsigned int nTime); void main(void) { long i; InitSysCtrl(); InitXintf16Gpio(); DINT; InitPieCtrl(); IER = 0x0000; IFR = 0x0000; for(;;) { for(i=0;i<=0xf;i++) { LED=i; Delay(612); } for(i=0xf;i>=0;i--) { LED=i; Delay(612); } } } void Delay(unsigned int nDelay) { int i,j,k=0; for(i=0;i<nDelay;i++) { for(j=0;j<1024;j++) { k++; } } } // 延时子程序
4位拨动开关控制数码管显示系统设计 (3)
课程设计任务书4位DIP开关控制数码管显示系统设计摘要:以单片机AT89S52芯片为核心,用7805、桥堆、拨动开关等器件设计一个控制电路,实现由4位拨动开关控制共阳极数码管显示系统的设计。
电路由电源模块、复位模块、时钟模块、显示模块等。
它由5V直流电源供电,用拨动开关的低四位为输入,控制输出端数码管显示器的输出。
用编程语言编写程序,系统能够实现如下功能:上电后数码管默认显示为“8”,调整4位拨动开关按二进制输入,按确定键后数码管显示对应的数字或字母“0”-“F”。
关键词:4位拨动开关;单片机;共阳极数码管;编程语言目录1. 设计背景 (1)1.1单片机设计背景 (1)1.2设计目的 (1)2.设计方案 (2)2.1方案一 (2)2.2方案二 (2)2.3方案三 (3)3.方案实施 (3)3.1系统组成框图 (4)3.2输入输出电路设计 (4)3.3时钟电路与复位电路设计 (5)3.4电源电路设计 (6)3.5程序设计 (6)3.6仿真结果 (7)4.结果与结论 (9)4.1结果 (9)4.2结论 (9)5. 收获与致谢 (10)6. 参考文献 (10)7.附件 (11)7.1电路硬件原理图 (11)7.2实物图 (15)7.3软件程序 (16)7.4元器件清单 (17)1. 设计背景1.1单片机设计背景目前单片机渗透到我们生活的各个领域。
导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。
更不用说自动控制领域的机器人、智能仪表、医疗器械了。
因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。
1.2设计目的在理论学习的基础上,通过完成一个单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,能够增强我们理论联系实际的能力,进一步熟练相关专业基础知识的综合应用,提高实际动手能力和设计能力。
十六进制七段数码显示管设计
十六进制七段数码显示管设计十六进制七段数码显示管设计电子科技大学实验报告学生姓名:学号:指导教师:王振松一、实验室名称:现代测试技术实验室二、实验项目名称:3位十六进制七段数码显示管设计三、实验原理:对4个7段LED数码管的每个LED显示屏都是按照一个“数字8”的模式安排了7个发光二极管组成部分。
每段LED可以单独照明,这7个段的每个LED的阳极是连接在一起,成为一个共同的阳极电路节点,但LED的阴极保持独立。
如需要每一位显示不同的数字,则需利用人眼的视觉残留效应进行动态刷新显示,以30次/秒的速度以此显示4个十六进制数。
所以是s[1:0]的数值必须以此速度从0~3计数。
同时输出an[3:0]的数值必须和s[1:0]同步,这样就保证在正确的时间显示正确的数字。
当然同时要使用使能端控制第三位数码管使其熄灭。
四、实验目的:1. 进一步熟悉Xilinx公司EDA开发系统软件平台的操作。
2. 学会将50M的晶振经多次分频后得到低频脉冲信号。
3. 学会用Verilog HDL中的case语句来创建七段译码器。
4. 学会使用原理图作为顶层图实现数字电路。
5. 掌握数码管动态扫描显示技术。
6. 学会用BASYS2 FPGA开发板下载执行并验证代码。
五、实验内容:1)利用HDL代码输入方式在Xilinx ISE 13.4平台上实现一个十六进制七段数码显示管设计;2)使用原理图作为顶层图实现数字电路,使用模块实例语句连接前面所设计的七段译码器模块;3)生成比特流文件下载到开发板上进行验证。
六、实验器材(设备、元器件):1)计算机(安装Xilinx ISE 13.4软件平台);2)BASYS2 FPGA开发板一套(带USB-MiniUSB下载线)。
七、实验步骤:1、在Xilinx ISE 13.4平台中,新建一个工程LED。
我们选用的BASYS2 FPGA开发板采用的是Spartan3E XC3S100E芯片和CP132封装,设置好器件属性。
rws综合实验
要求
在静态数码管上显示路数
用16个拨码开关控制16路
用蜂鸣器发出蜂鸣
四、十进制加法器
设计
技术
参数
在数码管上显示加数、被加数和结果
设置加数和被加数,当加数和被加数超过9时均按0处理
设计
要求
在4个静态数码管显示加数、被加数和结果
分别用4个拨码开关设置加数和被加数
五、游戏机
设计
技术
参数
三个数码管循环显示0-8
按下按钮,数码管按照不同的速率显示,松开按钮,显示停止,当三个数相同时,获胜
用指示灯表示输赢情况,指示灯亮表明获胜
复位按钮使显示回到初始状态
设计
要求
用静态数码管完成显示功能
使用时钟频率控制显示速率
在双色点阵上显示电子路标(指示箭头)
电子路标能够朝向箭头方向流动。
设计
要求
用双色点阵发器
设计
技术
参数
设计16路数显报警器,16路中某一断开时(可用高低电平表示断开和接通),用十进制数显示该路编号,并发出声音信号;
报警时间持续10秒;
当多路报警时,具有优先级,并降低优先级的报警存储,处理完成(手动拨为0)高优先级报警后,再处理之。
一、彩灯显示控制一
设计
技术
参数
有10个LED,L1、L2……L10,显示方式为先奇数灯(L1开始)依次灭,再偶数灯灭,再由L1到L10依次灭,依次循环
显示间隔为0.5s
有启动和暂停功能
设计
要求
控制“指示灯L1-L10”
控制时钟频率以满足显示间隔要求
用拨码开关实现启动和暂停功能
二、电子路标
设计技术
参数
4位拨动开关控制数码管显示系统设计
务书设计题目4位拨动开关控制数码管显示系统设计学生姓名设计要求:1.电源电路具有电源开关及指示灯,有复位按键;2.高4位开关屏蔽;3.用4位拨码开关为输入,控制数码管显示器的输出;4.实现功能:通电复位后数码管全显即显“8”,数码管对应4位DIP开关的二进制输入显示十六进制全部字符即从“0”到“F”。
学生应完成的工作:1.了解单片机系统的设计方法,设计步骤;2.查找并收集相关资料书籍;3.完成硬件原理图设计;4.完成软件和流程图的设计;5.对系统进行仿真;6.焊接电路板,调试系统;7.认真撰写课程设计报告。
8.孙晓界同学主要负责软件设计参考文献阅读:[1] 张毅刚,彭喜元,彭宇. 单片机原理及应用[M]. 北京:高等教育出版社,2009.[2] 杜树春. 单片机C语言和汇编语言混合编程实例详解[M]. 北京:北京航空航天大学出版社,2006.[3] 童诗白,华成英. 模拟电子技术基础(第四版)[M]. 北京:高等教育出版社,2006.[4] 林志琦. 基于Proteus的单片机可视化软硬件仿真[M]. 北京:北京航空航天大学出版社,2006. 工作计划:5月6日:查阅相关资料,拟定方案;5月7日:进行方案论证,完善设计方案;5月8日:完成硬件设计;5月9日:设计程序流程图;5月10日:完成软件设计,并进行仿真和调试;5月13日:进行焊接;5月14日:烧写程序;5月15日:调试电路;5月16日:与辅导老师交流,写课程设计报告;5月17日:上交课程设计报告及实物。
任务下达日期:2013 年5月 6 日任务完成日期:2013 年5月17 日指导教师(签名):学生(签名):4位拨动开关控制数码管显示系统设计摘要:用AT89S52单片机作为核心,利用晶振,共阳极数码管,7805,桥堆2w10等器件进行设计,由电源电路、复位电路、时钟电路、输入输出电路等设计一个控制电路。
利用汇编编写控制程序,程序使用查表法进行编写。
实验4-用十六进制数形式显示
实验4 用十六进制数形式显示实验目的:通过实验掌握下列知识:1、8086指令: JG,、SHR、MOV、OR、CMP、INC、LEA、INT、JNZ等。
2、利用DOS功能调用INT 21H的9号功能进行屏幕显示的方法。
实验要求:1、编一个程序,要求把NUM单元存放的一个8位二进制数,在屏幕上显示成16进制数。
2、修改前述1的程序,使能从键盘输入8位(或任意位<=16,以回车为输入结束)二进制数,在屏幕上显示对应的16进制数。
实验结果:如NUM单元的内容为’01001011’,要求能显示“RESULT=4BH”。
对第2部分,如键盘输入10110101110101回车输出结果:RESULT=2D75H实验报告要求:1、分析要点及调试后的正确程序。
2、实验体会。
进一步要求(对有余力的同学):编一个程序,要求把键盘输入的一个至多4位十六进制数,在屏幕上显示成对应的二进制数。
知识点:1、字符串显示DOS 09H功能说明功能:在屏幕上显示在存储器内以“$”为结束符的字符串(“$” 不显示)。
入口参数:DS:DX = 串首址。
例:一个以“$”结束的字符串已存放在mess为首地址的内存缓冲区中,利用09号功能调用如下:MOV AH, 9MOV DX, SEG mess ; DS:DX=串地址MOV DS, DXMOV DX, OFFSET messINT 21H2、数据区DA TA SEGMENTNUM DB 01001011Bmess DB 'RESULT=$'str DB 4 DUP(?)DA TA ENDS。
数电课程设计报告--耿泽浩
课程设计任务书学生:耿泽浩专业班级:通信1305班指导教师:政颖工作单位:信息工程学院题目:多功能数字钟电路设计初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。
用数码管显示时间计数值。
要求完成的主要任务:基本功能:1、准确计时,以数字形式显示时、分、秒的时间;2、小时得计时为十二进制(或二十四进制),分和秒的计时要求为60进制;3、校正时间。
扩展功能:1、定时功能;2、整点报时功能;3、仿广播电台整点报时。
时间安排:1、2015年7月2日,做课设具体实施计划与课程设计报告格式的要求说明。
2、2015年7月2日至2015年7月5日,查阅相关资料,确定方案,仿真调试。
3、2015年7月5日至2015年7月8日,焊接实物,电路调试和设计说明书撰写。
4、2015年7月9日上交课程设计成果及报告,同时进行答辩。
课设答疑地点:鉴主十七楼七号实验室指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)Abstract (2)1 系统原理框图 (3)2 方案设计与论证 (4)2.1 振荡器 (4)2.2 分频器 (6)2.3 时分秒计数器 (6)2.4 校时电路 (7)2.5 定时控制电路 (9)3 单元电路的设计 (11)3.1 译码及驱动显示电路的设计: (11)3.2 时钟电路的设计 (12)3.3 时钟脉冲电路的设计 (12)3.4 校时电路的设计 (14)3.5 闹钟电路的设计 (14)3.6 报时电路的设计 (15)4 仿真结果及分析 (16)4.1 仿真结果 (16)4.2 仿真结果分析 (17)4.2.1 时钟脉冲仿真结果 (17)4.2.2 时钟电路和校时电路仿真 (19)4.2.3 闹钟电路及报时电路仿真 (20)5 实物焊接及调试 (21)6 收获及体会 (23)7 元件清单 (24)8 参考文献 (25)摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置与机械式时钟相比具有更高的准确性和直观性、且无机械装置、具有更长的使用寿命。
利用拨码开关控制米字管进行十六进制数字显示
3. 仿真所编写的程序,模拟验证所编写的模块功能;
4. 下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;
5. 整理设计内容,编写设计说明书。
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕:
1.本课程设计说明书。
2.VHDL源程序及内部原理图。
3.该设计可以在实验箱上正常工作并演示。
课程设计任务书
4.主要参考文献:
[1]陈耀和.《VHDL语言设计技术》.北京:电子工业出版社,2004
[2]王振红.《VHDL数字电路设计与应用实践教程》.北京:机械工业出版社,2006
[3]侯伯亨.《VHDL硬件描述语言与数字逻辑电路设计》.西安:西安电子科技大学出版社,1997
5.设计成果形式及要求:
提交内容:课程设计说明书(VHDL程序、仿真过程及结果要在设计说明书详细说明)。
基本要求:编写的程序可以在实验箱内正常工作,满足任务书的设计要求。
6.工作计划及进度:
2010年5月31日——2010年6月6日:学习课程设计相关软件的使用;并查阅资料。
2010年6月13日:设计中期检查;
任勇峰
下达任务书日期:2010年5月31日
课程设计任务书
1.设计目的:
1.学习操作数字电路设计实验开发系统,掌握米字管的工作原理及应用。
2.掌握组合逻辑电路、时序逻辑电路的设计方法。
3.学习掌握可编程器件设计的全过程。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等):
1.学习掌握拨码开关控制模块、米字管的工作原理及应用;
中北大学
34利用拨码开关控制数码管进行十六进制数字显示说明书
中北大学课程设计说明书学生姓名:胡修勇学号:1106024234学院: 仪器与电子学院专业: 微电子科学与工程题目: 利用拨码开关控制数码管进行十六进制数字显示指导教师:李圣昆职称: 讲师2014 年6 月22 日目录1.课程设计目的 (1)2.课程设计内容和要求 (1)3.设计方案及其实现 (1)3.1设计思路 (1)3.2工作原理及原理图 (1)3.3模块功能描述 (2)3.4仿真结果 (3)3.5实验箱验证情况 (4)4.课程设计总结 (8)5.参考文献 (8)1.课程设计目的(1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。
(2)掌握组合逻辑电路、时序逻辑电路的设计方法。
(3)学习掌握可编程器件设计的全过程。
2.课程设计内容和要求1.学习掌握拨码开关控制模块、数码管的工作原理及应用;2.熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;3.仿真所编写的程序,模拟验证所编写的模块功能;4.下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;5.整理设计内容,编写设计说明书。
3.设计方案及其实现3.1设计思路由于七段数码管(外加小数点)是共阴极的,可以用七个电平驱动数码管的显示。
通过对不同管脚的点亮,使数码管显示16进制的每个数字。
可以用四个拨码开关组合成4位二进制对应BCD码以表示16进制。
当四位BCD码变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。
3.2工作原理及原理图七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。
在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。
由于只需要16个数的显示。
那么拨码开关只需用四个就可以实现16个数字的表示。
工作原理图如下:七段数码管如下图所示:由图知,如果要显示数字“0”,只需点亮abcdef段,对应的输出端电平为1111110.要显示数字“1”,只需点亮bc段,对应的输出端电平为0110000…如果要显示字母“A”,只需点亮abcefg段,对应的输出端电平为1110111.如果要显示字母“b”,只需点亮cdefg段,对应的输出端电平为0011111.同理可以显示其他数字和字母。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
中北大学
课程设计说明书
学生姓名:王白军学号:0706024150学院: 电子与计算机科学技术学院
专业: 微电子学
题目: 利用拨码开关控制数码管进行十六进制数字显示
指导教师:王红亮职称: 讲师
2010 年 6 月 25 日
目录
1. 课程设计目的 (2)
2. 课程设计内容和要求 (2)
2.1 设计内容 (2)
2.2 设计要求 (2)
3. 设计方案及实现情况 (2)
3.1 设计思路求 (2)
3.2 工作原理及框图 (2)
3.3 模块功能描述 (4)
3.4 仿真结果 (5)
3.5 实验箱验证情况 (5)
4. 课程设计总结 (8)
5. 参考文献 (8)
1.课程设计目的
(1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。
(2)掌握组合逻辑电路、时序逻辑电路的设计方法。
(3)学习掌握可编程器件设计的全过程。
2.课程设计内容和要求
2.1设计内容
利用拨码开关控制数码管进行十六进制数字显示。
2.2设计要求
(1)学习掌握拨码开关控制模块、数码管的工作原理及应用;
(2)熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;
(3)仿真所编写的程序,模拟验证所编写的模块功能;
(4)下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;
(5)整理设计内容,编写设计说明书。
3.设计方案及其实现
3.1 设计思路
由于七段数码管是共阴极的,顾可以用七个电平驱动数码管的显示。
通过对不同管脚的点亮,使数码管显示16进制的每个数字。
可以用四个拨码开关组合成4位BCD以表示16进制。
当四位BCD变化时,在输出端输出相应的高低电平以驱动七段数码管的显示。
3.2 工作原理及框图
七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。
在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。
由于只需要16个数的显示。
那么拨码开关只需用四个就可以实现16个数字的表示。
七段数码管如下图所示:
图1
由图知,如果要显示数字“0”,只需点亮abcdef段,对应的输出端电平为1111110. 要显示数字“1”,只需点亮bc段,对应的输出端电平为0110000. 要显示数字“2”,只需点亮abdeg段,对应的输出端电平为1101101. 如果要显示数字“3”,只需点亮abcdg段,对应的输出端电平为1111001. 如果要显示数字“4”,只需点亮bcfg段,对应的输出端电平为0110011. 如果要显示数字“5”,只需点亮acdfg段,对应的输出端电平为1011011. 如果要显示数字“6”,只需点亮cdefg段,对应的输出端电平为0011111. 如果要显示数字“7”,只需点亮abc段,对应的输出端电平为1110000. 如果要显示数字“8”,只需点亮abcdef 段,对应的输出端电平为1111111. 如果要显示数字“9”,只需点亮abcfg段,对应的输出端电平为1110011. 如果要显示数字“A”,只需点亮abcefg段,对应的输出端电平为1110111. 如果要显示数字“b”,只需点亮cdef段,对应的输出端电平为0011111.同理可以显示其他数字和字母。
3.3 模块功能描述
根据输出功能的要求,写出如下vhdl代码已实现所需的功能。
3.4 仿真结果
通过拨动开关对输入端依次输入BCD码,然后仿真得出以下波形图。
图2
3.5 实验箱验证情况
将程序仿真编译后下载到FPGA芯片,拨动拨码开关,可以在数码管上依次显示16进制的数字,图3是硬件的连线图。
图3
以下是拨动拨码开关显示的16进制数的截图,从0到F的显示。
图4
图5
图6
图7
4.课程设计总结
PLD的发展趋势:向高集成度、高速度方向进一步发展,最高集成度已达到400万门;向低电压和低功耗方向发展,内嵌多种功能模块RAM,ROM,FIFO,DSP,CPU方向发展;向数、模混合可编程方向发展。
以此我们必须掌握FPGA的开发及应用。
要实现某一功能,首先应根据要求查找相关资料,确定实现方案。
然后设计输入,对输入的文件编译后波形仿真。
经过仿真后下载到FPGA再进行验证,最终实现功能。
5.参考文献
1.任勇峰,庄新敏.VHDL与硬件实现速成. 北京:国防工业出版社,2005
2. 侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计. 西安:西安电子科
技大学出版社,1999
3. 基于FPGA的数字系统设计.西安:西安电子科技大学出版社,2008
4. 罗苑棠.CPLD/FPGA常用模块与综合系统设计实例精讲.北京:电子工业出版
社,2007。