电子科技大学_实验设计方法_实验报告

合集下载

电子科技大学电子技术实验课程设计multisim电路分析

电子科技大学电子技术实验课程设计multisim电路分析

4.4叠加定理
一、实验目的
1.进一步掌握直流稳压电源和万用表的使用方法。

2.掌握直流电压和直流电流的测试方法。

3.进一步加深对叠加定理的理解。

二、叠加定理
全部电源在线形电路中产生的任一电压或电流,等于每一个电源单独作用产生的相应电压或电流的代数和。

三、使用Multisim10测试
由图可知,U1= —1.111V,U2= —11.111V,U3= 10V,U1=U2+U3,所以,叠加定理成立。

4.6串联RLC 电路时域响应的测试
一、实验目的
1. 进一步掌握二阶RLC 串联电路暂态响应的基本规律和特点。

2. 研究二阶RLC 串联电路参数对响应的影响。

二、实验原理
串联RLC 电路的响应特点
可以用一个二阶微分方程描述的电路称为二阶电路。

2c
c c 2
d u du RC u 0dt dt LC ++=2c c c s 2d u du RC u u dt dt
LC ++= 若输入为零,即零输入响应,电路方程为 2c
c c 2
d u du RC
u 0dt dt LC ++=
如图,此时为欠阻尼响应,即 R <。

电子科大射频实验报告_

电子科大射频实验报告_

电子科技大学通信射频电路实验报告学生姓名:学号:指导教师:实验一选频回路一、实验内容:1.测试发放的滤波器实验板的通带。

记录在不同频率的输入下输出信号的幅度,并绘出幅频响应曲线。

2.设计带宽为5MHz,中心频率为39MHz,特征阻抗为50欧姆的5阶带通滤波器。

3.在ADS软件上对设计出的带通滤波器进行仿真。

二、实验结果:(一)低通滤波器数据记录及幅频响应曲线低通滤波器幅频响应曲线(二)带通滤波器数据记录及幅频响应曲线频率/MHz 0 0.5 1 1.5 2 2.5 3 3.5 4幅度/mV 0.4 0.4 0.4 0.4 0.4 0.4 0.4 0.006 0.006 频率/MHz 4.5 5 5.2 5.4 5.6 5.8 6 6.2 6.4 幅度/mV 0.006 0.0008 1.2 1.6 2.2 3 7.2 11.4 19.8 频率/MHz 6.6 6.8 7 7.2 7.4 7.6 7.8 8 8.2 幅度/mV 36.4 58 60 52.8 49.6 50 52.8 57.6 66.4 频率/MHz 8.4 8.6 8.8 9 9.2 9.4 9.6 9.8 10幅度/mV 78.4 96 124 166 232 344 440 444 340 频率/MHz 10.2 10.4 10.6 10.8 11 11.2 11.4 11.6 11.8 幅度/mV 360 348 360 400 464 528 512 452 392频率/MHz 12 12.4 12.6 12.8 13 13.2 13.4 13.6 13.8 幅度/mV 340 288 290 276 218 148 94.4 64 44.8 频率/MHz 14 14.2 14.4 14.6 14.8 15. 15.2 15.4 15.6 幅度/mV 32.4 24 18.4 14.4 11.2 9 7.4 6 2.6 频率/MHz 15.8 16 16.2 16.4 16.6 16.8 17 17.5 18幅度/mV 2.2 2 1.4 1.2 1 1 1 0.006 0.004 频率/MHz 18.5 19 19.5 20幅度/mV 0.006 0.004 0.006 0.004带通滤波器幅频响应曲线三、仿真实验(一)设计步骤1.先设计带宽为5MHz,特征阻抗为50Ω,带宽为39MHz的LPF。

【精品完整版】电子科技大学现代电子综合实验报告数字秒表设计

【精品完整版】电子科技大学现代电子综合实验报告数字秒表设计

【精品完整版】电⼦科技⼤学现代电⼦综合实验报告数字秒表设计现代电⼦综合实验报告项⽬名称数字秒表设计学⽣姓名学号指导⽼师⽬录【摘要】 (1)关键词 (1)引⾔ (1)第1章背景知识介绍 (2)1.1 FPGA (2)1.2 EDA (2)1.3 VHDL语⾔ (2)1.4 ModelSim软件 (3)1.5 ISE软件 (3)1.6 时间简介 (3)第2章系统设计 (4)2.1设计要求 (4)2.2 设计分析 (4)2.2.1 输⼊输出分析 (4)2.2.2 系统设计原理 (4)2.2.3 系统内部模块分析 (5)第3章模块电路的实现与仿真 (6)3.1 按键消抖 (6)3.2 分频器 (7)3.3 控制器 (8)3.4 计数器 (10)3.5 锁存器 (11)3.6 显⽰模块 (12)第4章实验设计 (14)4.1 顶层设计 (14)4.2 管脚设置 (15)第5章实验结论 (15)第6章结果分析 (15)参考⽂献: (16)附录: (16)1.分频模块源程序 (16)2.消抖模块源程序 (17)3.控制模块源程序 (18)4.计数器模块源程序 (20)(1)计数器顶层⽂件程序 (20)(2)10进制仿真程序源程序 (22)(3)6进制仿真程序源程序 (23)5.锁存器模块源程序 (24)6.显⽰模块源程序 (25)(1)显⽰模块顶层⽂件源程序 (25)(2)扫描模块源程序 (27)(3)数据选择模块源程序 (27)(4)译码器模块源程序 (28)7.顶层⽂件源程序 (29)8.管脚分配源程序 (32)【摘要】利⽤VHDL硬件设计语⾔基于FPGA实验板设计⼀个数字表秒。

该数字秒表及时范围是00’00”00 ~ 59’59”99,并利⽤两个按钮开关Start/Stop 和Split/Reset来控制秒表的启动、暂停、继续、停⽌、复位。

根据该要求,将该实验设计分为6个模块,分别为:分频模块、按键消抖模块、计数器模块和按键控制模块、锁存模块和显⽰模块。

实验一 八位全加器的设计

实验一 八位全加器的设计

电子科技大学电子工程学院标准实验报告(实验)课程名称EDA技术与应用**:**学号:*****************:**电子科技大学教务处制表实验一八位全加器的设计一、预习内容1.结合教材中的介绍熟悉QuartusⅡ软件的使用及设计流程;2.八位全加器设计原理。

二、实验目的1.掌握图形设计方法;2.熟悉QuartusⅡ软件的使用及设计流程;3.掌握全加器原理,能进行多位加法器的设计。

三、实验器材PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干四、实验要求1、用VHDL设计一个四位并行全加器;2、用图形方式构成一个八位全加器的顶层文件;3、完成八位全加器的时序仿真。

五、实验原理与内容1、原理:加法器是数字系统中的基本逻辑器件。

例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。

但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。

多位加法器的构成有两种方式:并行进位和串行进位方式。

并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。

通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。

实验表明,4 位二进制并行加法器和串行级联加法器占用几乎相同的资源。

这样,多位数加法器由4 位二进制并行加法器级联构成是较好的折中选择。

因此本实验中的8 位加法器采用两个4位二进制并行加法器级联而成。

2、实现框图:1)四位加法器四位加法器可以采用四个一位全加器级连成串行进位加法器,实现框图如下图所示,其中CSA为一位全加器。

显然,对于这种方式,因高位运算必须要等低位进位来到后才能进行,因此它的延迟非常可观,高速运算肯定无法胜任。

通过对串行进位加法器研究可得:运算的延迟是由于进位的延迟。

因此,减小进位的延迟对提高运算速度非常有效。

电子科技大学微处理器系统结构与嵌入式系统设计实验一

电子科技大学微处理器系统结构与嵌入式系统设计实验一

电子科技大学实验报告课程名称微处理器系统结构与嵌入式系统设计实验名称ARM汇编基础实验任课教师 XXX 实验教师 XXX姓名黑XX学号2012XXXXXXXXX 实验地点 XXXXXXX 分组号 X组时间 XXXX年 X月X日XX:XX~XX:XX一、实验目的1.掌握ARM汇编指令。

2.学习掌握C与汇编混合编程基础。

3.熟练使用ARM调试工具RVDS进行调试操作。

二、实验内容1.熟悉ARM汇编。

2.用ARM汇编实现1+2+...+N。

3.C调用汇编实验(实现字符串拷贝功能)。

4.汇编调用C实验。

5.ARM汇编实现冒泡算法(选做)三、实验步骤1)用ARM汇编实现1+2+….n的运算。

在sum.s文件中,添加如下代码:SUN_L1ADD R0,R1BVS SUM_ENDCMP R1,R2BHS SUM_ENDADD R1,#1B SUN_L12)理解C和汇编,并用汇编程序实现字符串拷贝,并在C程序中调用该汇编程序。

在汇编文件testfile1. s中添加两行汇编代码,实现:拷贝源字符串的一个字节到R2中,将拷贝的字节复制到目标空间。

关键代码如下:strcopy;------------------------------------------;用汇编实现字符串拷贝LDRB R2,[R1],#1 ;R1对应源字符串首地址,利用寄存器间接寻址读取字符到R2STRB R2,[R0],#1 ;R0对应目的字符串首地址,利用寄存器间接寻址保存字符CMP R2,#0BNE strcopyMOV PC,LR;------------------------------------------END ;文件结束3)在汇编中调用C函数。

在汇编文件testfile2.s中相应位置添加汇编代码,通过调用c函数g()实现1+2+3+glovb1,结果存在R8中。

关键代码如下:STR LR,[SP,#-4]!MOVS R0,#1MOVS R1,#2MOVS R2,#3LDR R4,=glovb1LDR R3,[R4] ;传递参数BL gMOV R8,R0ADD sp,sp,#4LDR pc,[sp],#4中,通过伪指令EQU,定义N的值。

8位二进制全加器设计+杭州电子科技大学+EDA实验报告

8位二进制全加器设计+杭州电子科技大学+EDA实验报告

《EDA技术》实验报告实验名称: 8位二进制全加器设计一、实验设计要求以一位二进制全加器为基本元件,用例化语句写出8位并行二进制全加器的顶层文件,并讨论此加法器的电路特性。

二、设计原理电路结构图或原理图电路功能描述定义了8位二进制全加器顶层设计元件端口信号,输入端口:AIN, BIN,是八个二进制数,数据类型被定义为STD_LOGIC_VECTOR。

CIN是输入的进位,数据类型IN STD_LOGIC;输出端口:SUM为和,数据类型IN STD_LOGIC COUT 为输出的进位。

定义了7个信号C1, C2, C3,C4,C5,C6,C7作为器件内部的连接线,采用映射语句port map()将8个一位二进制全加器连接起来构成一个完整的全加器。

低位全加器进位输出端连到高一位全加器的进位输入端,任何一位的加法运算必须等到低位加法完成时才能进行,这种进位方式称为串行进位三、实验程序程序1:半加器描述程序2:一位二进制全加器设计顶层描述功能:程序功能简介程序3:8位并行二进制全加器顶层文件四、编译及仿真结果选用器件型号cyclone编译后使用器件资源情况、引脚配置情况(硬件实验)时序分析结果(最大延时路径、最大时钟频率等等)程序仿真波形图(结合文字分析仿真结果)仿真结果显示:该设计是成功的。

输入的进位也要加上去。

0---255 全加器的COUNT 没有进位,而当加到256,COUNT=1,SUM输出0.五、总结.1 编译不通过,检查后发现在建立工程时,同一工程的所有文件都必须放在同一文件夹中,而这一步没做。

2 程序中没有将文件名与实体名保持一致出错。

输入半加器的VHDL程序保存文件,将输入的VHDL语言程序保存为h _adder.vhd.3 ror: Can't name logic function scfifo0 of instance "inst" -- function has same name as current design file原因:模块的名字和project的名字重名了措施:把两个名字之一改一下,一般改模块的名字4 在QuartusII下进行编译和仿真的时候,会出现一堆warning,虽然编译仿真通过了,有的提醒可以忽视,但是有的还是需要引起重视的。

杭州电子科技大学EDA第一次实验报告

杭州电子科技大学EDA第一次实验报告

杭州电子科技大学EDA实验报告第一次实验报告班级:1404751*学号: ********姓名:***实验一:双2选1多路选择器MUXKP893-5 图3-16所示的是双2选1多路选择器构成的电路MUXK。

对于其中MUX21A,当s=0和s=1时,分别有y=a和y=b。

试在一个模块中用两个过程来表达此电路。

MUX21A的代码如下module MUX21A(a,b,s,y);input a,b,s;output y;assign y=(s?a:b);endmoduleMUXK的代码如下module muxk(a1,a2,a3,s0,s1,outy);input a1,a2,a3,s0,s1;output outy;wire tmp;MUX21A u1(a2,a3,s0,tmp);MUX21A u2(a1,tmp,s1,outy);Endmodule代码分析:1.用例化语句,直接调用放在MUXK工程的mux21a,实现双二选一数据选择器;2.条件判断语句y=s?a:b,实现2选1。

MUXK RTL图MUXK 仿真波形图如下图所示P1114-1 多路选择器设计实验实验目的:进一步熟悉Quartus II的Verilog文本设计流程,组合电路的设计仿真和硬件测试。

实验内容:根据4.1节的流程,利用QuartusⅡ完成4选1多路选择器的文本代码编辑输入和仿真测试等步骤,给出图3-5所示的仿真波形。

代码如下module MUX41A(A,B,C,D,S1,S0,Y);input A,B,C,D,S1,S0;output Y;reg[1:0] SEL; reg Y;always@(A,B,C,D,SEL)beginSEL={S1,S0};if (SEL==0) Y=A;else if(SEL==1) Y=B;else if(SEL==2) Y=C;else Y=D; endendmodule电路图如下仿真波形如下图分析:当S=2’b00时,Y=A; 当S=2’b01时,Y=B;当S=2’b00时,Y=C; 当S=2’b00时,Y=D;4-2 8位加法器设计实验实验目的:熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握文本和原理图输入方式设计的详细流程。

电子科技大学 计算机 学院 实验报告模板

电子科技大学 计算机 学院 实验报告模板
{
for(j=1;j<=i;j++)
{
if(number(i,j)==1)
{
b[a]=(float)j/(float)i;
a++;
}
}
}
for(i=1;i<a;i++)
for(k=0;k<a-i;k++)
{
if(b[k]>b[k+1])
{
c=b[k];
b[k]=b[k+1];
b[k+1]=c;
}
}
for(i=0;i<a;i++)
除此之外,在进行临界测试时,由于0/1是单独输出的,所以当n=0是程序运行正常,但当n比较大时,由于数组b定义的长度为100,所以产生越界问题,而且当n较小时,数组b不能被完全利用,部分内存被浪费,所以可以改进为动态数组,来避免浪费内存和越界问题。
六.总结及心得体会:相对简单,但是写完程序才发现很多不完善的地方,有待改进。此外,C,C++基础不好,所以写程序比较困难,希望老师能循序渐进地改善我们的编程能力。
n阶法雷序列元素存储到数组b中,然后再利用冒泡排序将所有元素按升阶排列,得到n阶法雷序列
3.算法时间复杂度分析
存储操作的算法时间复杂度为 ,排序操作的算法时间复杂度为 ,所以总的算法时间复杂度为 (效率出奇得低)
4.核心程序
for(i=1;i<=m;i++)
{
for(j=1;j<=i;j++)
{
if(number(i,j)==1)
电子科技大学计算机学院
标准实验报告

电子科技大学智能电网信息工程综合实验1实验报告

电子科技大学智能电网信息工程综合实验1实验报告

电子科技大学智能电网信息工程综合实验1实验报告实验报告是一种重要的教学资料,它以学生为主体,主要包括两个方面内容:1.知识与技能;2.学生对知识的理解与运用。

本实验计划为指导形式,确定实验目的、实验内容和方案,并进行评价。

通过本教学计划,使学生初步掌握智能电网信息工程的理论知识、软件技术以及施工方法。

通过本实验,使学生掌握智能电网系统与设计的基本理论、基本方法和工程结构原理等方面的知识。

一、实验目的电力系统及其自动化专业具有较强的实践性,其核心专业之一就是智能电网。

智能电网是以电力系统为核心的智能化控制系统。

它为电力系统提供了一个可靠、灵活、高效和安全的运行状态和控制手段。

它是适应于当今世界能源、信息和交通等各种现代化发展要求,为电力工业创造良好环境和提供多种服务的基础设施。

根据智能电网工程建设需要,本实验是为智能电网项目建设和运行所需提供实践环境的一个基础实验。

因此,通过本实验使学生掌握关于智能电网系统所需的理论知识、技术及基本方法等内容,初步构建属于自身专业领域的知识体系。

二、教师介绍孙小莉,中共党员,中国人民大学电子信息工程专业,工学硕士,高级工程师,电气电子工程师。

先后于成都理工大学、电子科技大学任教,2006年12月起兼任电子科技大学电工电子与信息工程学院院长、电子科学与技术国家重点实验室主任。

2005年3月被评为四川省教学名师。

主要研究方向为电力电子技术、智能电网信息工程、信号与信息处理、电工电子基础、工业控制原理、现代信息处理技术、信息网络与多媒体技术等,目前已在《电工电子》、《电工技术》等专业核心期刊发表学术论文30余篇。

作为电子信息工程专业核心课程《智能电网信息工程》的主编,负责编写了第一章《电工电子》,是我国第一个编制专业目录并且正式出版发行的专业目录。

主持国家自然科学基金4项、国家社会科学基金3项、四川省教育厅基础与教学改革项目2项、教育部科技计划课题2项、教育部新世纪人才计划项目1项,发表学术论文50余篇,出版专著2部,在国内外核心期刊上发表学术论文50余篇。

实验八 LCD1602液晶显示实验

实验八 LCD1602液晶显示实验

电子科技大学中山学院学生实验报告学院:机电工程专业:课程名称:单片机原理与接口技术实验3、芯片时序表:4、LCD数据存储器地址LCD内置了DDRAM,用来寄存待显示的字符代码。

其地址与屏幕的对应关系如下:也就意味着想要在LCD1602的第一行第一列显示一个“A”字符,就要向DDRAM的00H地址写入“A”,但是在实际写入时,还必须将00H加上80H,即0X80+0X00。

以此类推,如果想要在LCD1602的第二行的第二列显示字符内容,则实际写入地址应该为0X80+0X41。

5、1602LCD的一般初始化(复位)过程(1) 延时15ms。

(2) 写指令38H(不检测忙信号)。

(3) 延时5ms。

(4) 写指令38H(不检测忙信号)。

(5) 延时5ms。

(6) 写指令38H(不检测忙信号)。

(7) 以后每次写指令、读/写数据操作均需要检测忙信号。

(8) 写指令38H:显示模式设置。

(9) 写指令08H:显示关闭。

(10) 写指令01H:显示清屏。

(11) 写指令06H:显示光标移动设置。

(12) 写指令0CH:显示开及光标设置。

6、LCD1602与单片机直接连接典型示意图如图8.1所示。

图8.1 LCD1602液晶显示。

三、实验内容和步骤1、用Proteus设计一LCD1602液晶显示接口电路。

参考实验指导书上的参考程序,编写程序,实现字符的静态显示。

显示字符为:第一行:“姓名全拼(居中)”,第二行:“专业全拼+学号(后3位)”。

将LCD显示截图以及相应的程序保存在下方。

#include<reg51.h>#define uchar unsigned char#define uint unsigned intuchar code table[]=" xuzhulin ";uchar code table1[]="zidonghua 031";sbit lcden=P2^7;sbit lcdrw=P2^6;sbit lcdrs=P2^5;uchar num;for(num=0;num<12;num++){write_data(table[num]); //写数据,LCD的第一行显示delay(200);}write_com(0x02); //光标返回write_com(0x80+0x40); //设置数据地址指针,LCD第二行显示for(num=0;num<16;num++){write_data(table1[num]);delay(200);}while(1);}}2、在上一题的基础上,增加两个外部中断,实现不同内容的显示。

VLSI设计的FPGA验证

VLSI设计的FPGA验证

VLSI设计的FPGA验证标准实验报告实验三:计数器改进设计及其FPGA验证实验电子科技大学·《电子设计自动化技术》课程组实验(一)标准实验报告实验原理:参见《VLSI设计的FPGA验证实验指导书》实验步骤及实验记录:1.步骤一:实验准备FPGA开发板(主芯片型号:某CS10某L)用跳线块将FPGA开发板上的program引脚与GND短接。

4.步骤四:FPGA开发板上电操作方法为:程序→某ilin某FoundationSerie3.1i→Acceorie→JTAGprogrammer。

加载PROM配置文件(audio.mc),选择PROM器件封装型号(某c18v02_pc44)。

8.步骤八:FPGA配置项设置PROM器件某c18v02_pc44的Operation选项选择为program,FPGA 器件某CS10某L_PC84的Operation选项选择为Bypa。

取下FPGA开发板上的program与GND短接的跳线块,FPGA进入运行状态;接上耳机试听音乐播放,听到了播放的音乐。

实验结论与分析:实验(二)标准实验报告实验名称:LED数码显示型计数器的FPGA验证实验实验目的:通过VHDL源程序编写与仿真、FPGA综合、FPGA物理实现及FPGA实验原理:参见《VLSI设计的FPGA验证实验指导书》实验步骤及实验记录:1.第一步:计数器的VHDL编程与源代码录入①计数器的VHDL源代码:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcount10enISPORT(i,clr,en:INSTD_LOGIC;qa,qb,qc,qd:OUTSTD_LOGIC);ENDcount 10en;ARCHITECTURErtlOFcount10enISSIGNALcount_4:STD_LOGIC_VECTOR(3downto0);BEGINproce(i,clr)be ginif(clr='1')thencount_4<="0000";elif(i'eventandi='1')thenif(en='1')thenif(count_4="1001")thencount_4<="0000";elecount_4<=count_4+1;endif;endif;endif;endproce;qa<=count_4(0);qb<=count_4(1);qc<=count _4(2);qd<=count_4(3);ENDrtl;②LED数码显示驱动模块的源代码:libraryIEEE;ueIEEE.STD_LOGIC_1164.all;entitydiplayiport(I0,I1,I2,I3:inSTD_LOGIC;O_A,O_B,O_C,O_D,O_E,O_F,O_G:outSTD_LOGIC);enddiplay;architecturediplayofdiplayiignalM:STD_LOGIC_VECTOR(3downto0);ignalO:STD_LOGIC_VECTOR(6d ownto0);beginM<=I3&I2&I1&I0;PROCESS(M)BEGINcaeMiwhen"0000"=>O<="1000000";--0when"0001"=>O<="1111001";--1when"0010"=>O<="0100100";--2when"0011"=>O<="0110000";--3when"0100"=>O<="0011001";--4when"0101"=>O<="0010010";--5when"0110"=>O<="0000010";--6when"0111"=>O<="1011000";--7when"1000"=>O<="0000000";--8when"1001"=>O<="0010000";--9whenother=>O<="0000110";--Eendcae;endproce;O_A<=O(0);O_B<=O(1);O_C<=O(2);O_D<=O(3);O_E< =O(4);O_F<=O(5);O_G<=O(6);enddiplay;2.第二步:VHDL程序功能仿真仿真工具选择:Active-HDL。

电子科技大学数电实验报告

电子科技大学数电实验报告

assign disp_data_right2=Q_2;
assign disp_data_right3=Q_3;
assign disp_data_right4=Q_4;
assign disp_data_right5=Q_5;
dynamic_led6 u6 (
.disp_data_right0(disp_data_right0),
.disp_data_right1(disp_data_right1),
.
disp_data_right2(disp_data_right2),
.
disp_data_right3(disp_data_right3),
.disp_data_right4(right5(disp_data_right5),
);
reg[24:0] clk_div_cnt=0; reg clk_div=0; always @ (posedge clk) begin
if (clk_div_cnt==25000) begin
clk_div=~clk_div; clk_div_cnt=0; end else
clk_div_cnt=clk_div_cnt+1; end
4'h6: seg=8'h7d; 4'h7: seg=8'h07; 4'h8: seg=8'h7f; 4'h9: seg=8'h6f; 4'ha: seg=8'h77; 4'hb: seg=8'h7c; 4'hc: seg=8'h39; 4'hd: seg=8'h5e; 4'he: seg=8'h79; 4'hf: seg=8'h71; default: seg=0; endcase end

电子科技大学模电模拟电路实验报告

电子科技大学模电模拟电路实验报告

电子科技大学模电模拟电路实验报告电子科技大学实验报告实验项目:利用multisim分析、图rb、rc和晶体管参数变化对q点、au、ri、ro和uom的影响。

一、实验原理实验原理图输入电源通过单一改变rb、rc或晶体管参数,测量ibq、icq、uceq、输入电压峰值uimax、空载输出电压峰值uoomax,和带负载时的输出电压峰值uomax,并通过下列公式计算得到au、ri和ro。

au=uomax/uimax,ri=uimax/(usmax uimax)。

rs,ro=(uomax/uomax-1)。

rl二、实验器材安装了Multisim的计算机三、实验数据记录rc=5kω,β=80,rbb'=100ω时间Rb/Kω五十亿壹仟陆佰柒拾万rb=510kω,β=80,rbb’=100ω时rc543rb=510kω,rc=5kω时间βrbb'/kibq/μω捌佰壹兆捌拾亿贰仟陆佰壹拾万四、实验结论当RA增大时,IBQ减小,ICQ增大,uceq增大,|Au |增大,RI减小。

2当RC减小时,IBQ保持不变,ICQ基本不变,uceq增大,|Au |减小,RI基本不变,O减小。

3当rbb’增大时,|au|减小,ri增大;当β减小时,|au|减少,ri变化不大。

a27。

9872.23727.9872.23727.9871.6793.8133.8136.6020.3396620.3586910.337530-65.790-63.929-49.538icq/mauceq/vuimax/mvuomax/vauri/kω-1941.03-1781.12-1471.02ibq/27.98727.98727.9872.2378.2860.338536-49.467-1461.0262.9902.2376.0510.338735-58.492-1731.007icq。

237uceq/v3。

813uimax/mvuomax/vauri/kro/kω-一千九百四十一点零二八ω5.036/kωμaibq/μa27。

电子科技大学数学实验实验报告(含详细程序和实验数据)-Koch分形雪花,计算瑞典国土,计算我国海岸线长度

电子科技大学数学实验实验报告(含详细程序和实验数据)-Koch分形雪花,计算瑞典国土,计算我国海岸线长度

Koch 分形雪花面积计算的数学实验报告2012年4月6日绘制Koch 分形雪花,分析其边数及面积规律实验内容取周长为10的正三角形为初始元。

第一步(N=1):将边长三等分,并以中间的一份为底边构造正三角形,去掉该三角形的底边,将两腰与剩下的两份相连,得到生成元。

原三角形每条边都用生成元替换,得到具有6个凸顶点的12边形。

第二步(N=2):对第1步得到的图形,同样将其边长三等分,并以中间的一份构造正三角形,去掉该三角形的底边,将两腰与两边的两份相连,得到生成元。

原12边形的每条边都用生成元替换,得到24个凸顶点的48边形。

如此方法,一直做下去,当∞→N 时便得到了Koch 分形雪花。

实验目的1.算法描述Koch 分形雪花2.证明Koch 分形雪花图Kn 的边数为143-⨯=n n L3.求Koch 分形雪花图Kn 的面积)(lim n N K area ∞→实验原理1. Koch 分形雪花的绘制过程与Koch 曲线的构造过程类似。

事实上,Koch 分形雪花是由三条三次Koch 曲线组成的。

Koch 曲线的构造:由一条线段产生四条线段,由n 条线段迭代一次后将产生4n 条线段,算法针对每一条线段逐步进行,将计算新的三个点。

第一个点位于线段的三分之一处,第三个点位于线段的三分之二处,第二个点以第一个点为轴心,将第一和第三个点形成的向量正向旋转ο60而得,正向旋转由正交矩阵⎪⎭⎪⎬⎫⎪⎩⎪⎨⎧-3cos 3sin 3sin3cos ππππ完成。

三条三条三次Koch 曲线由初始向量P 构造。

流程图如下:⑴)/3P -2(P + P ←Q )/3;P -(P + P ← Q 121 31211 ⑵;A ×)Q -(Q + Q ← Q T1312 ⑶.Q ← P ;Q ← P ;Q ← P ;P ← P 342312252.由于Koch分形雪花是封闭的凸多边形,所以边数=顶点数=P矩阵的行数-1。

AD与DA转换实验详解

AD与DA转换实验详解
{
PwmOut(i);
j=100;
while(--j);
}
}
}
void Delay(uint16 ms)
{int i,j;
for(i=0;i<ms;i++)
for(j=0;j<100;j++);
}
仿真波形如右图所示:
2、设计程序,利用STC12C5A60S2单片机内部PCA模块产生PWM信号,经低通滤波后输出正弦波。
此外,CCAP1H(字节地址为FBH)和CCAP1L(字节地址为EBH)分别是PCA模块1捕捉/比较寄存器的高8位和低8位。在8位PWM模式下,当PCA计数器低8位(CL)的值小于CCAP1L时,PWM1引脚输出为低,大于或等于CCAP1L时,PWM1引脚输出为高;另外,当CL的值由FFH加到00H(溢出)时,CCAP1H的当前值自动加载到CCAP1L中,因此,程序只需对CCAP1H进行设定,即可在PWM1引脚稳定输出相应占空比的PWM信号。
void PwmInit()
{CMOD=0x08;
CCAPM1=0x42;
CR=1;
}
void PwmOut(uint8 Duty)
{CCAP1H=255-Duty;
}
void main()
{
int i,j;
PwmInit();
/*while(1)
{PwmOut(30);
Delay(800);
PwmOut(120);
ADC_CONTR&=~0x10;
return ADC_RES;
}
void UartInit()
{
TMOD=0x20;
TH1=TL1=0xFD;

电子科技大学实验报告

电子科技大学实验报告

电 子 科 技 大 学实 验 报 告一、 实验室名称: 电子技术综合实验室二、 实验项目名称:现代电子技术综合实验三、 实验学时: 40四、 实验目的与任务:1、 熟悉系统设计与实现原理2、 掌握KEIL C51的基本使用方法3、 熟悉SMART SOPC 实验箱的应用4、 连接电路,编程调试,实现各部分的功能5、 完成系统软件的编写与调试五、 实验器材1、 PC 机一台2、 示波器、SMART SOPC 实验箱一套六、 实验原理、步骤及内容(一)试验要求1、程序开始后:当只有第1个发光二极管亮时,同时第1个数码管显示数字1,其余显示”-”;持续0.5秒之后,只有第2个发光二极管亮,同时电子科技大学实验报告【最新资料,WORD 文档,可编辑修改】第2个数码管显示数字2,其余显示”-”;再过0.5秒,只有第3个发光二极管亮,同时第3个数码管显示数字3,其余显示”-”;……;间隔0.5秒后,只有第8个发光二极管亮,同时第8个数码管显示数字8,其余显示”-”。

此后进入循环状态。

循环过程中,按按键进入任务2。

2、停止任务1中发光二极管显示,数码管的第1、2位显示学号最后二位,第4、5位显示电机转速(初始转速30)。

第7、8位实现秒表功能,从5.0开始倒计时,计时到0.0后程序自动进入任务3.3、数码管的第1、2位显示电机转速,第3、4 、 5、6位显示”-”, 第7、8位显示二位电压值(0.0~2.4V),增减调节电压值,电机转速(初始转速30)能够跟随电压增减以10倍变化量(0~24),进行加减速变化。

按按键进入任务1。

(二)实验内容1、硬件设计PDIP40封装80C51引脚布局图 SmartSOPC相配套的基于8051单片机的Quick51核心板2、各部分硬件原理数码管动态扫描原理:动态显示的特点是将所有位数码管的段选线并联在一起,由位选线控制是哪一位数码管有效。

选亮数码管采用动态扫描显示。

所谓动态扫描显示即轮流向各位数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位数码管同时都在显示。

电子科技大学_数字逻辑综合实验_4个实验报告_doc版

电子科技大学_数字逻辑综合实验_4个实验报告_doc版

电子科技大学计算机学院标准实验报告(实验)课程名称数字逻辑综合实验xxx20160xxxxxxxxx电子科技大学教务处制表电子科技大学实验报告 1学生姓名:xxx 学号:指导教师:吉家成米源王华一、实验项目名称:中小规模组合逻辑设计二、实验目的:1.掌握非门、或门、与非门、异或门、数据选择器的逻辑功能。

2.掌握常有逻辑门电路的引脚排列及其使用方法。

3.采用中小规模逻辑门进行组合逻辑设计,掌握组合逻辑的设计方法。

三、实验内容:1.逻辑输入采用实验箱的K1-K11,逻辑输出接L1-L10。

测试实验箱上的HD74LS04P(非门)、SN74LS32N(或门)、SN74LS00N(与非门)、SN74HC86N(异或门)、SN74HC153(数据选择器、多路复用器)的逻辑功能。

2.采用小规模逻辑器件设计一位数据比较器:设一位数据比较器的输入为A、B,比较A>B,A=B,A<B,输出三个比较结果,输出采用低电平有效。

3.分别用小规模和中规模逻辑器件设计3输入多数表决器:设输入为A、B、C,当三个输入有两个或两个以上同意时,输出结果为同意,输入、输出的同意均为高电平有效。

四、实验原理:1.一块74LS04芯片上有6个非门。

非门的逻辑功能如表1所示,74LS04(非门、反相器)的逻辑符号和引脚排列如下图所示。

图1 74LS04的逻辑符号和引脚排列2.74LS32(或门)的逻辑符号、引脚排列如下图所示。

图2 74LS32的逻辑符号和引脚排列输入输出YA BL L LL H HH L HH H H3.74LS00输入输出YA BL L HL H HH L HH H L图3 74LS00逻辑符号和引脚排列4.一块74HC86芯片上有4个异或门。

异或门的逻辑功能如表4所示,74HC86(异或门)的逻辑符号、引脚排列如图4所示。

表4异或门的逻辑功能输入输出YA BL L LL H HH L HH H L图4 74HC86逻辑符号和引脚排列5.74HC153芯片上有两个4选1数据选择器。

电子科技大学_汇编实验报告

电子科技大学_汇编实验报告

电子科技大学计算机工程学院标准实验报告(实验)课程名称电子科技大学教务处制表电子科技大学实验报告实验一学生姓名:穆萨·图拉克学号:2012060090033 指导教师:实验地点:主楼A2-412 实验时间:19:00~一、实验室名称:二、实验项目名称:汇编源程序的上机调试操作基础训练三、实验学时:四、实验原理:(包括知识点,电路图,流程图)DEBUG 的基本调试命令;汇编数据传送和算术运算指令MASM宏汇编开发环境使用调试方法五、实验目的:1. 掌握DEBUG 的基本命令及其功能2. 学习数据传送和算术运算指令的用法3. 熟悉在PC机上编辑、汇编、连接、调试和运行汇编语言程序的过程六、实验内容:(介绍自己所选的实验内容)1. DEBUG命令的使用2. 程序的调试与运行3. 编写程序计算以下表达式:Z=(5X+2Y-7)/2设X、Y的值放在字变量V ARX、V ARY中,结果存放在字节单元V ARZ中。

七、实验器材(设备、元器件):WinXP PC机,MASM,50 ,VisulASM软件平台。

八、实验步骤:(编辑调试的过程)1.编辑源程序,建立一个以后缀为.ASM的文件.2.汇编源程序,检查程序有否错误,有错时回到编辑状态,修改程序中错误行。

无错时继续第3步。

3.连接目标程序,产生可执行程序。

4.用DEBUG程序调试可执行程序,记录数据段的内容。

九、实验数据及结果分析:(实验运行结果介绍或者截图,对不同的结果进行分析)程序说明:功能:本程序完成Z=(5X+2Y-7)/2这个等式的计算结果求取。

其中X 与Y是已知量,Z是待求量。

结构:首先定义数据段,两个DB变量VARX与VARY(已经初始化),以及结果存放在VARZ,初始化为?。

然后定义堆栈段,然后书写代码段,代码段使用顺序程序设计本程序,重点使用MOV和IMUL以及XOR,IDIV完成程序设计。

详细内容见程序注释。

程序清单:DATA SEGMENTVARX DB10VARY DB10VARZ DB?DATA ENDSSTACK SEGMENT PARA STACKSTACK ENDSCODE SEGMENTASSUME CS:CODE,DS:DATA,SS:STACKMAIN:MOV AX,DATAMOV DS,AXMOV AL,VARXMOV AH,VARYSAL AL,1SAL AL,1ADD AL,VARXSAL AH,1ADD AL,AHSUB AL,7SAR AL,1MOV VARZ,ALMOV AH,4CHINT21HCODE ENDSEND MAIN程序框图:结束调试说明:将汇编语言(.asm文件)通过masm命令转换为目标程序(.obj 文件),通过link命令转换为目标程序可执行程序(.exe文件); 这次试验还要用-p参数以及d参数显示结果为:十、实验结论:(联系理论知识进行说明)通过本次实验,我们学习了解掌握了DEBUG 的基本命令及其功能,学习了数据传送和算术运算指令的用法,熟悉了在PC机上编辑、汇编、连接、调试和运行汇编语言程序的过程。

电子科技大学现代电子综合实验频率计实验报告讲解

电子科技大学现代电子综合实验频率计实验报告讲解

实验报告学生:学院:专业:学号:指导老师:目录一、实验名称: (3)二、实验目的: (3)三、实验任务: (3)四、实验原理: (3)1.分频器: (4)①功能 (4)②实现: (4)2.闸门选择 (5)①功能 (5)②实现 (5)3.门控电路: (6)①功能: (6)②实现 (7)4.计数器: (8)①功能 (8)②实现 (8)5.锁存器: (9)①功能 (9)②实现 (9)6.扫面显示 (9)①功能 (9)②实现 (9)7.top顶层文件 (10)①功能: (10)②实现: (10)8.管脚的配置: (11)六、误差分析: (13)1. 原因 (13)2.减小误差 (13)七、实验结论: (14)八、程序附录: (14)1.分频器: (14)2.闸门选择: (15)3.门控电路: (16)4.计数器: (17)5.锁存器: (19)6.扫面显示: (20)7.top程序: (21)一、实验名称:基于FPGA的数字频率计的设计二、实验目的:学习VHDL语言并使用它完成频率计的设计,使学生不断的加深对VHDL描述语言的掌握,以及不断总结由软件来实现硬件的特点,学会程序与芯片的对接,为以后的工作和更进一步的学习学习打好基础。

三、实验任务:基于FPGA采用硬件描述语言VHDL,在软件开发平台ISE上设计出一个数字频率计,使用ModelSim仿真软件对VHDL程序做仿真并下载到芯片完成实际测量。

要求:其频率测量范围为10Hz~10MHz,测量结果用6只数码管显示。

有三个带锁按键开关(任何时候都只能有一个被按下)被用来选择1S、0.1S和0.01S三个闸门时间中的一个。

有两只LED,一只用来显示闸门的开与闭,另一只当计数器溢出时做溢出指示。

四、实验原理:频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟(本实验采用50MHz的石英振荡器作为基准时钟),对比测量其他信号的频率。

通常情况下计数每秒内待测信号的脉冲个数,此时我们称闸门时间为1S,闸门时间也可以大于或小于1S。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子科技大学




学生:黎超群
学号: 16
指导教师:王守绪、何为
日期: 2014年5月13日
一、实验室名称:
211大楼
二、实验项目名称:
统计分析应用软件在优化试验设计中的应用
三、实验原理:
统计分析应用软件可以应用在优化试验设计中以简化运算,提高工作效率
四、实验目的:
1. 掌握“正交助手”应用软件在正交试验统计分析法中的应用
2. 熟悉Minitab、DPS统计分析应用软件在多元回归分析中的应用
3. 熟悉“均匀设计”应用软件在均匀试验设计以及分析方法中的应用
4. 加深对理论教学知识的理解
5. 更深刻理解试验设计方法在实际工作中的应用
五、实验容:
1、用“正交设计助手”进行正交实验的极差分析和方差分析
2、用“正交设计助手”处理带交互作用的正交试验问题
3、minitab进行正交实验的方差分析
4、minitab处理多元回归分析问题
5、“均匀设计”软件解决均匀设计问题的一般流程
6、用DPS数据处理系统处理正交实验及回归分析
六、实验器材(设备、元器件):
计算机、正交设计助手软件、Minitab软件、均匀设计软件、DPS数据处理系统
七、实验步骤:
Ⅰ. 用“正交设计助手”进行正交实验的极差分析和方差分析
1.点击文件→新建工程→右击未命名工程→修改工程→键入用户名→点击实验→新建实验→填写实验名称和描述→点击旁边选项卡选择正交表(L
34)→再点
9
击“因素与水平”选项卡填写实验因素和水平(图1)→软件自动完成实验安排(图2)→填写实验结果(图3)→点击分析→“直观分析”得到极差分析结果(图4)→点击“因素指标”得到各因素二元图(图5)→点击“方差分析”→选择误差列为空白列得到方差分析结果(图6)→实验Ⅰ结束
图1 图2
图3 图4
图5 图6 Ⅱ. 用“正交设计助手”处理带交互作用的正交试验问题
点击新建实验→填写实验名称和描述→选择正交表(L
27)→填写因素、交互作
8
用和水平(图1)→软件自动安排实验(图2)→输入实验结果(图3)→点击“直观分析”得到极差分析结果(图4)→点击“交互作用”→选择发生交互作用的A、B得到交互作用表(图5)→点击“方差分析”得到方差分析结果(图6)→实验Ⅱ结束
图1 图2
图3 图4
图5 图6
Ⅲ. minitab进行正交实验的方差分析
说明:因为输入代码软件无反应所以直接用菜单栏中的命令来实现本实验
输入四列数据(图1)→点击“统计”→“方差分析”→“一般线性模型”→“响应“项选D“模型”项依次选择A B C点击“确定”(图2)→得到方差分析结果(图3)→点击“方差分析”→“单因子”→响应项:D,因子:A 得到A的各水平平均值和极差及各水平好坏对比(图4)→B、C因素依次操作(结果未列出)→有交互作用正交实验操作同上故未详细说明→实验Ⅲ结束
图1 图2
图3 图4
Ⅳ. minitab处理多元回归分析问题
1.输入相应数据(图1)→点击“统计”→“回归”→“回归”响应项为Y预期变量为A B C D→得到回归分析结果(图2)→由于D显著性最弱所以剔除D重复上述操作得到结果(图3)→C显著性也较弱剔除C重复上述操作得到结果(图4)→结论:
未剔除变量Y = 62.4 + 1.55 A + 0.510 B + 0.102 C - 0.144 D
均方差= 2.44601 回归系数平方和= 98.2%
剔除变量C Y = 48.2 + 1.70 A + 0.657 B + 0.250 C
均方差= 2.31206 回归系数平方和= 98.2%
剔除变量C D Y = 52.6 + 1.47 A + 0.662 B
均方差= 2.40634 回归系数平方和= 97.9%
由于第三个模型系数少所以即使其回归系数平方和与均方差都不是最好水平(但都不低)仍然认为第三个模型最好
2.对于多元非线性回归的分析基本同上,区别是多了变量的高次幂作为新变量,分析时只需将这些高次幂看作变量进行分析即可,方法同上故不赘述
图1 图2
图3 图4
Ⅴ. “均匀设计”软件解决均匀设计问题的一般流程
说明:由于安装软件后闪退,试过在WinXP系统与win7系统中安装2.10与3.0版都无法解决,所以无法实现操作,只能根据实验指导书与实验课操作经验总结如下
点击“试验设计”栏目→考察指标数为1,试验因素数为4,运行的次数为12→选择水平组合为12*6*6*6→点击“指标因素信息”按钮→输入指标与因素的名称和单位→手动输入各个指标因素的数据→点击“多元回归分析”按钮→分别选中1*1、2*2、3*3、4*4的交互项→点击“多元回归分析”按钮→查看运行结果→点击“试验优化”→选择“计算方法”为“单纯形法”,“优化方向”为“寻最大值”,“单纯形初始点”为“最好点”,设定上限设定值和下限设定值指标方向寻最大值时输入1,寻最小值时输入-1→点击“自动优化实验”按钮→得到优化的试验方案
Ⅵ. 用DPS数据处理系统处理正交实验及回归分析
说明:学校上下载的软件可运行,但注册机不能运行,无法破解,因此无常运行(使用时软件自动加入一些无关参数),因此本报告所用为互联网下载的DPS 7.05版软件并用相应注册机破解,由于版本不同,所以操作上可能与实验指导书上的有所出入,特此说明。

点击“实验设计”→“正交设计表”→选择“9处理3水平4因素”确定→得到相应正交表→输入实验结果数据(图1,此时特别注意切换输入法为系统初始输入法,既非用户自己安装的输入法,否则软件会卡死,正确输入的数字应为蓝色图中所示为错误输入,为黑色)→选中要分析的数据(B4:F12)→点击“试验统计”→“正交试验方差分析”→之后,处理和空闲因子数为4,空闲因子所在列为E,多重比较方法选择turkey法→点击确定,得到结果(图2)→进行有交互作用的正交试验的极差及方差分析时方法同上,只需把交互作用看作一个额外的因素即可,此不赘述→用dps数据处理系统进行回归分析时,先输入数据(图3)→选中数据→点击“多元分析”→“线性分析”→“线性回归”→“返回编辑”→得到结果(图4)
图1 图2
图3
图4
.. ..
八、实验数据及结果分析:
数据及结果分析如上图所示
九、实验结论:
通过软件可以轻松实现实验安排与结果分析,大大提高工作效率
十、总结及心得体会:
输入数据时要仔细,因为一般数据偏差不大软件是不会报错的这样如果最终发现有问题,要找到问题所在是很困难的
十一、对本实验过程及方法、手段的改进建议:
更新软件版本,希望能提供正版软件,或者已破解且可用的软件,尽量支持高版本windows
报告评分:
指导教师签字:... . .。

相关文档
最新文档