数字电压表实验报告

合集下载

万用表使用实验报告

万用表使用实验报告

万用表使用实验报告篇一:万用表实验报告万用表实训报告班级:姓名:学号:成绩:一、万用表测量前应做哪些准备?二、万用表测电阻1、万用表测电阻的步骤是?2、记录实训中的电阻值R1= R2=人体表面电阻=三、万用表测量直流电压1、万用表测量直流电压的步骤是?2、记录实训中的电压值U1=U2=四、万用表测量交流电压1、万用表测量直流电压的步骤是?2、记录实训中的电压值U1=五、万用表使用时,应注意什么?R3=篇二:实验1_数字万用表的应用实验报告电子测量实验报告实验名称:数字万用表的应用姓名:学号:班级:学院:指导老师:实验一数字万用表的应用一、实验目的1 理解数字万用表的工作原理;2 熟悉并掌握数字万用表的主要功能和使用操作方法。

二、实验内容1 用数字万用表检测元器件——电阻测量、电容测量、二极管检测、三极管检测;2用数字万用表测量电压和电流——直流电压及电流的测量、交流电压及电流的测量。

三、实验仪器及器材1 低频信号发生器1台2 数字万用表1块3 功率放大电路实验板1块4 实验箱1台5 4700Pf、IN4007、9018各1个四、实验要求1 要求学生自己查阅有关数字万用表的功能和相关工作原理,了解数字万用表技术指标;2 要求学生能适当了解一些科研过程,培养发现问题、分析问题和解决问题的能力;3 要求学生独立操作每一步骤;4 熟练掌握万用表的使用方法。

五、万用表功能介绍(以UT39E型为例)1概述UT39E型数字万用表是一种功能齐全、性能稳定、结构新颖、安全可靠、高精度的手持式四位半液晶显示小型数字万用表。

它可以测量交、直流电压和交、直流电流,频率,电阻、电容、三极管β值、二极管导通电压和电路短接等,由一个旋转波段开关改变测量的功能和量程,共有28档。

本万用表最大显示值为±19999,可自动显示“0”和极性,过载时显示“1”,负极性显示“-”,电池电压过低时,显示“2技术特性A直流电压:量程为200mV、2V、20V、200V和1000V五档,200mV档的准确度为±(读数的0.05%+3个字),2V、20V和200V档的准确度为±(读数的0.1%+3个字), 1000V档的准确度为±(读数的0.15%+5个字);输入阻抗,所有直流档为10MΩ。

电路实验

电路实验

电路实验实验一 基本电工仪表的使用及测量误差的计算一、实验目的1. 熟悉实验台上各类电源及各类测量仪表的布局和使用方法。

2. 掌握指针式电压表、电流表内阻的测量方法。

3. 熟悉电工仪表测量误差的计算方法。

二、原理说明1. 为了准确地测量电路中实际的电压和电流,必须保证仪表接入电路后不会改变被测电路的工作状态。

这就要求电压表的内阻为无穷大;电流表的内阻为零。

而实际使用的指针式电工仪表都不能满足上述要求。

因此,当测量仪表一旦接入电路,就会改变电路原有的工作状态,这就导致仪表的读数值与电路原有的实际值$之间出现误差。

这种测量误差值的大小与仪表本身内阻值的大小密切相关。

只要测出仪表的内阻,即可计算出由其产生的测量误差。

以下介绍几种测量指针式仪表内阻的方法。

2. 用“分流法”测量电流表的内阻如图1-1所示。

A 为被测内阻(R A )的直流电流 表。

测量时先断开开关S ,调节电流源的输出电流I 使A 表指针满偏转。

然后合上开关S ,并保持I 值不 变,调节电阻箱R B 的阻值,使电流表的指针指在1/2 满偏转位置,此时有I A =I S =I/2∴ R A =R B ∥R 1 可调电流源 R 1为固定电阻器之值,R B 可由电阻箱的刻度盘上读得。

图 1-13. 用分压法测量电压表的内阻。

如图1-2所示。

V 为被测内阻(R V )的电压表。

测量时先将开关S 闭合,调节直流稳压电源的 输出电压,使电压表V 的指针为满偏转。

然后 断开开关S ,调节R B 使电压表V 的指示值减半。

此时有:R V =R B +R 1电压表的灵敏度为:S =R V /U (Ω/V) 。

式中U 为电压表满偏时的电压值。

可调稳压源 图 1-2 4. 仪表内阻引入的测量误差(通常称之为方法误差, 而仪表本身结构引起的误差称为仪表基本误差)的计算。

R 1(1)以图1-3所示电路为例,R 1上的电压为 U R1=─── 。

R 1+R 2 现用一内阻为R V 的电压表来测量U R1值,当R V 与R 1并联后,R V R 1R AB =───,以此来替代上式中的R 1,则得R V +R 1VR R V BSR 1++R R AV BvU21AR B R AI I sS++1R V R 1 图 1-3────R V +R 1 -R 2 1R 2UU'R1=────── U 。

《电路原理》实验报告

《电路原理》实验报告

《电路原理》实验报告实验一电阻元件伏安特性的测量一、实验目的1、学会识别常用电路和元件的方法。

2、掌握线性电阻及电压源和电流源的伏安特性的测试方法。

3、学会常用直流电工仪表和设备的使用方法。

二、实验原理任何一个二端元件的特性可用该元件上的端电压U与通过该元件的电流I之间的函数关系I=f(U)表示,即I-U平面上的一条曲线来表征,即元件的伏安特性曲线。

线性电阻器的伏安特性曲线是一条通过坐标原点的直线该直线的斜率等于该电阻器的电阻值。

三、实验设备四、实验内容及实验数据测定线性电阻器的伏安特性按图1-1接线,调节稳压电源的输出电压U,从0伏开始缓慢地增加,一直到10V,记下相、I。

应的电压表和电流表的读数UR图1-1实验二 基尔霍夫定律一、实验目的1、加深对基尔霍夫定律的理解,用实验数据验证基尔霍夫定律。

2、学会用电流表测量各支路电流。

二、实验原理1、基尔霍夫电流定律(KCL ):基尔霍夫电流定律是电流的基本定律。

即对电路中的任一个节点而言,流入到电路的任一节点的电流总和等于从该节点流出的电流总和,即应有∑I=0。

2、基尔霍夫电压定律(KVL ):对任何一个闭合回路而言,沿闭合回路电压降的代数总和等于零,即应有∑U=0。

这一定律实质上是电压与路径无关性质的反映。

基尔霍夫定律的形式对各种不同的元件所组成的电路都适用,对线性和非线性都适用。

运用上述定律时必须注意各支路或闭合回路中电流的正方向,此方向可预先任意设定。

三、实验设备四、实验内容及实验数据实验线路如图4-1。

把开关K1接通U1,K2接通U2,K3接通R4。

就可以连接出基尔霍夫定律的验证单元电路,如图4-2。

图4-1图4-21、实验前先任意设定三条支路和三个闭合回路的电流正方向。

图4-2中的I1、I2、I3的方向已设定。

三个闭合回路的电流正方向可设为ADEFA、BADCB、FBCEF。

2、分别将两路直流稳压源接入电路,令U1 = 8V,U2 = 12V。

数字电压表实验报告

数字电压表实验报告

简易数字电压表设计报告姓名:***班级:自动化1202学号:****************:***2014年11月26日一.设计题目采用C8051F360单片机最小系统设计一个简易数字电压表,实现对0~3.3V 直流电压的测量。

二.设计原理模拟输入电压通过实验板PR3电位器产生,A/D转换器将模拟电压转换成数字量,并用十进制的形式在LCD上显示。

用一根杜邦实验线将J8口的0~3.3V输出插针与J7口的P2.0插针相连。

注意A/D转换器模拟输入电压的范围取决于其所选择的参考电压,如果A/D 转换器选择内部参考电压源,其模拟电压的范围0~2.4V,如果选择外部电源作为参考电压,则其模拟输入电压范围为0~3.3V。

原理框图如图1所示。

图1 简易数字电压表实验原理框图三.设计方案1.设计流程图如图2所示。

图2 简易数字电压表设计A/D转换和计时流程图2.实验板连接图如图3所示。

图3 简易数字电压表设计实验板接线图3.设计步骤(1)编写C8051F360和LCD初始化程序。

(2)AD转换方式选用逐次逼近型,A/D转换完成后得到10位数据的高低字节分别存放在寄存器ADCOH和ADC0L中,此处选择右对齐,转换时针为2MH Z。

(3)选择内部参考电压2.4V为基准电压(在实际单片机调试中改为3.311V),正端接P2.0,负端接地。

四、测试结果在0V~3.3V中取10组测试数据,每组间隔约为0.3V左右,实验数据如表1所示:显示电压(V)0.206 0.504 0.805 1.054 1.406实际电压(v)0.210 0.510 0.812 1.061 1.414相对误差(%) 1.905 1.176 0.862 0.659 0.565显示电压(V) 2.050 2.383 2.652 2.935 3.246实际电压(v) 2.061 2.391 2.660 2.943 3.253相对误差(%)0.421 0.334 0.301 0.272 0.215表1 简易数字电压表设计实验数据(注:其中显示电压指LCD显示值,实际电压指高精度电压表测量值)五.设计结论1.LCD显示模块的CPLD部分由FPGA充当,芯片本身自带程序,所以这个部分不用再通过quartus软件进行编程。

数字电压表实验报告模板

数字电压表实验报告模板

《单片机课程设计》设计报告设计题目:数字电压表的设计班级学号:50809xx姓名:xxx设计时间:2010-12-28备注:目录1.引言 (2)2.概述··22.1实验要求 (2)2.2实验目的 (2)2.3 实验器材 (2)3.总体设计方案 (3)3.1系统的总体结构及实验原理 (3)3.2芯片的选择 (4)3.3 ADC0809 的主要性能指标 (4)4.硬件电路设计 (6)4.1 AT89S52 单片机最小系统 (6)4.1.1 AT89S52各引脚及其引脚功能的实现 (6)4.2 ADC0809 与AT89S52 单片机接口电路设计 (7)4.2.1ADC0809和AT89S52单片机接口电路的引脚说明 (8)4.2.2ADC0809与AT89S52单片机的连接与控制的实现 (9)4.3显示电路与AT89S52 单片机接口电路设计 (10)4.3.1显示电路的组成 (11)4.3.2显示电路和AT89S52接口电路的引脚说明 (12)4.3.3显示电路与AT89S52的连接与控制的实现 (12)5.软件设计 (13)5.1主程序流程图 (14)5.1.1 主程序说明 (15)5.2 ADC0809 电压采集程序框图 (16)5.2.1ADC0809电压采集程序说明 (17)5.3显示程序框图 (18)5.3.1显示程序说明 (19)6.调试与测量结果分析 (20)6.1调试和仿真环境 (20)6.2程序调试 (20)6.3 仿真结果 (22)6.4 实验结果分析 (23)7.实验总结和实验收获 (24)8.程序清单和系统原理图(附录) (25)8.1(附录一)程序清单 (25)8.2 (附录二)系统原理图 (26)1.引言本次课程设计要求完成是数字电压表的设计,随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量最为普遍。

万用表的电工实验报告

万用表的电工实验报告

万用表的电工实验报告万用表电工实习报告万用表电工实习报告一、实习目的电子技术实习的主要目的就是培养我们的动手能力,要我们对电子元器件识别,相应工具的操作,相关仪器的使用,电子设备制作、装调的全过程,掌握查找及排除电子电路故障的常用方法有个更加详实的体验,不能在面对这样的东西时还像以前那样一筹莫展。

有助于我们对理论知识的理解,帮助我们学习专业知识,更重要的是能够提高我们的实际操作能力。

使我们对电子元件及收音机的装机与调试有一定的感性和理性认识,打好日后深入学习电子技术基础。

同时实习使我获得了收音机的实际生产知识和装配技能,培养理论联系实际的能力,提高分析问题和解决问题的能力,增强独立工作的能力。

同时也培养同学之间的团队合作、共同探讨、共同前进的精神。

具体目的如下:1.熟悉手工焊锡的常用工具的使用。

2.基本掌握手工电烙铁的焊接技术,能够独立的完成简单电子产品的安装与焊接。

熟悉电子产品的安装工艺的生产流程。

3.熟悉印制电路板设计的步骤和方法,熟悉手工制作印制电板的工艺流程,能够根据电路原理图,元器件实物设计并制作印制电路板。

4.熟悉常用电子器件的类别、型号、规格、性能及其使用范围,能查阅有关的电子器件图书。

5.能够正确识别和选用常用的电子器件,并且能够熟练使用普通万用表。

6.了解电子产品的焊接、调试与维修方法。

二、实习内容:(1) 学习识别简单的电子元件与电子线路;(2) 学习并掌握万用表的工作原理;(3) 按照图纸焊接元件,组装一台万用表,并掌握其调试方法。

三、实习器材介绍:(1) 电烙铁:由于焊接的元件多,所以使用的是外热式电烙铁,功率为30 w,烙铁头是铜制。

(2) 螺丝刀、镊子、楔口钳等必备工具。

(3)松香和锡,由于锡它的熔点低,焊接时,焊锡能迅速散步在金属表面焊接牢固,焊点光亮美观。

(4) 两节5号电池。

四、原理简述MF47型万用表使用一,MF47万用表基本功能MF47型是设计新颖的磁电系整流式便携式多量程万用电表.可供侧量直流电流,交直流电压,直流电阻等,具有26个基本量程和电平,电容,电感,晶体管直流参数等7个附加参考量程.二,刻度盘与档位盘刻度盘与档位盘印制成红,绿,黑三色.表盘颜色(转载于: 写论文网:万用表的电工实验报告)分别按交流红色,晶体管绿色,其余黑色对应制成,使用时读数便捷.刻度盘共有六条刻度,第一条专供测电阻用;第二条供测交直流电压,直流电流之用;第三条供测晶体管放大倍数用;第四条供测量电容之用;第五条供测电感之用;第六条供测音频电平.刻度盘上装有反光镜,以消除视差.除交直流2500V和直流5A分别有单独插座之外,其余各档只须转动一个选择开关,使用方便.三,使用方法在使用前应检查指针是否指在机械零位上,如不指在零位时,可旋转表盖的调零器使指针指示在零位上.将测试棒红黑插头分别插入+ -插座中,如测量交流直流2500V或直流5A时,红插头则应分别插到标有2500或5A的插座中.1,直流电流测量测量0.05~500mA时,转动开关至所需电流档,测量5A时,转动开关可放在500mA直流电流量限上而后将测试棒串接于被测电路中. 2,交直流电压测量测量交流10~1000V或直流0.25~1000V时,转动开关至所需电压档.测量交直流2500V时,开关应分别旋转至交流1000V或直流1000V 位置上,而后将测试棒跨接于被测电路两端.3,直流电阻测量装上电池(R14型2#1.5V及6F22型9V各一只).转动开关至所需测量的电阻档,将测试棒二端短接,调整零欧姆调整旋钮,使指针对准欧姆0位上,(若不能指示欧姆零位,则说明电池电压不足,应更换电池),然后将测试棒跨接于被测电路的两端进行测量.准确测量电阻时,应选择合适的电阻档位,使指针尽量能够指向表刻度盘中间三分之一区域.测量电路中的电阻时,应先切断电路电源,如电路中有电容应先行放电.当检查电解电容器漏电电阻时,可转动开关到R×1K档,测试棒红杆必须接电容器负极,黑杆接电容器正极.4,音频电平测量在一定的负荷阻抗上,用以测量放大极的增益和线路输送的损耗,测量单位以分贝表示音频电平与功率电压的关系式是:NdB=10log10P2/P1 =20log10V2/V1音频电平的刻度系数按0dB=1mW600Ω输送线标准设计.即V1=(PZ)1/2=(0.001*600)1/2=0.775VP2V2分别为被测功率或被测电压音频电平是以交流10V为基准刻度,如指示值大于+22 dB时可以在50V以上各量限测量,其示值可按下表所示值修正.量限按电平刻度增加值电平的测量范围10V-10~+22 dB50V14 dB +4~+36 dB250V28 dB+18~+50 dB500V34 dB+24~+56 dB测量方法与交流电压基本相似,转动开关至相应的交流电压档,并使指针有较大的偏转.如被测电路中带有直流电压成份时,可在+插座中串接一个0.1μf的隔离电容器.5,电容测量转动开关至交流10V位置,被测量电容串接于任一测试棒,而后跨接于10V交流电压电路中进行测量.6,电感测量与电容测量方法相同.7,晶体管直流参数的测量(1)直流放大倍数hFE的测量先转动开关至晶体管调节ADJ位置上,将红黑测试棒短接,调节欧姆电位器,使指针对准300 hFE刻度线上,然后转动开关到hFE位置,将要测的晶体管脚分别插入晶体管测试座的ebc管座内,指针偏转所示数值约为晶体管的直流放大倍数值.N型晶体管应插入N 型管孔内,P型晶体管应插入P型管孔内.(2)反向截止电流Iceo,Icbo的测量Iceo为集电极与发射极间的反向截止电流(基极开路).Icbo为集电极与基极间的反向截止电流(发射极开路)转动开关Ω×1K档将测试棒二端短路,调节零欧姆上,(此时满度电流值约90uA).分开测试棒,然后将欲测的晶体管插入管座内,此时指针的数值约为晶体管的反向截止电流值.指针指示的刻度值乘上1.2即为实际值.当Iceo电流值大于90μA时可换用Ω×100档进行测量(此时满度电流值约为900μA).N型晶体管应插入N型管座,P型晶体管应插入P型管座.(3)三极管管脚极性的辨别(将万用表置于Ω×1K档)①判定基极b.由于b到c――b 至e分别是二个PN结,它的反向电阻很大,而正向电阻很小.测试时可任意取晶体管一脚假定为基极.将红测试棒接基极, 黑测试棒分别去接触另二个管脚,如此时测得都是低阻值,则红测试棒所接触的管脚即为基极b,并且是P 型管,(如用上法测得均为高阻值.则为N型管).如测量时二个管脚的阻值差异很大,可另选一个管脚为假定基极,直至满足上述条件为止.②判定集电极c.对于PNP型三极管,当集电极接负电压,发射极接正电压时,电流放大倍数才比较大,而NPN型管则相反.测试时假定红测试棒接集电极c,黑测试棒接发射极e,记下其阻值,而后红黑测试棒交换测试,将测得的阻值与第一次阻值相比,阻值小的红测试棒接的是集电极c,黑的是发射极e,而且可判定是P型管(N型管则相反).(4)二极管极性判别测试时选R×10K档,黑测试棒一端测得阻值小的一极为正极. 万用表在欧姆电路中,红测试棒为电池负极,黑的为电池正极.注意:以上介绍的的测试方法,一般都用R×100,R×1K档,如果用R×10K档,则因该档用15V的较高电压供电,可能将被测三极管的PN结击穿,若用R×1档测量,因电流过大(约90mA),也可能损坏被测三极管.四,技术规范量限范围灵敏度及电压降精度误差表示度直流电流0-0.05mA-0.5mA-5mA--50mA-500 mA-5A0.3V2.5以上量限的百分数计算直流电压0-0.25V-1V-2.5V-10V-50V-250V-500V-1000V-2500V20KΩ/V2.55以上量限的百分数计算交流电压0-10V-50V-250V(45-65-500Hz) -500V-1000V-2500V(45-65Hz) 4KΩ/V5以上量限的百分数计算直流电阻R×1,R×10, R×100,R×1K, R×10KR×1中心刻度为16.5Ω2.5以标度尺弧长的百分数计算 10以指示值的百分数计算音频电平-10d B~+22 d B0dB=1mw 600ΩhFE0~300hFE晶体管直流放大倍数电感20~1000H电容0.001~0.3uf五,注意事项1. 万用表虽有双重保护装置,但使用时仍应遵守下列规程,避免意外损失.(1)测量高压或大电流时,为避免烧坏开关,应在切断电源情况下,变换量限.(2) 测未知量的电压或电流时,应先选择最高数,待第一次读取数值后,方可逐渐转至适当位置以取得较准读数并避免烧坏电路. (3)偶然发生因过载而烧断保险丝时,可打开表盒换上相同型号的保险丝(0.5A/250V).2.测量高压时,要站在干燥绝缘板上,并一手操作,防止意外事故.3.电阻各档用干电池应定期检查,更换,以保证测量精度.平时不用万用表应将档位盘打到交流250V档;如长期不用应取出电池,以防止电液溢出腐蚀而损坏其它零件.MF47型万用表的原理图及其线路板:篇二:电工学实验报告物教101实验一电路基本测量一、实验目的1. 学习并掌握常用直流仪表的使用方法。

电路实验报告_3

电路实验报告_3

实验一电路元件伏安特性的测试一、实验目的1.学会识别常用电路元件的方法2.掌握线性电阻、非线性电阻元件伏安特性的测试方法3.熟悉实验台上直流电工仪表和设备的使用方法二、原理说明电路元件的特性一般可用该元件上的端电压U 与通过该元件的电流I之间的函数关系I=f(U)来表示,即用I-U平面上的一条曲线来表征,这条曲线称为该元件的伏安特性曲线。

电阻元件是电路中最常见的元件,有线性电阻和非线性电阻之分。

实际电路中很少是仅由电源和线性电阻构成的“电平移动”电路,而非线性器件却常常有着广泛的使用,例如非线性元件二极管具有单向导电性,可以把交流信号变换成直流量,在电路中起着整流作用。

万用表的欧姆档只能在某一特定的U和I下测出对应的电阻值,因而不能测出非线性电阻的伏安特性。

一般是用含源电路“在线”状态下测量元件的端电压和对应的电流值,进而由公式R=U/I求测电阻值。

1.线性电阻器的伏安特性符合欧姆定律U=RI,其阻值不随电压或电流值的变化而变化,伏安特性曲线是一条通过坐标原点的直线,如图1-1(a)所示,该直线的斜率等于该电阻器的电阻值。

图1-1 元件的伏安特性2.白炽灯可以视为一种电阻元件,其灯丝电阻随着温度的升高而增大。

一般灯泡的“冷电阻”与“热电阻”的阻值可以相差几倍至十几倍。

通过白炽灯的电流越大,其温度越高,阻值也越大,即对一组变化的电压值和对应的电流值,所得U/I不是一个常数,所以它的伏安特性是非线性的,如图1-1(b)所示。

3.半导体二极管也是一种非线性电阻元件,其伏安特性如图1-1(c)所示。

二极管的电阻值随电压或电流的大小、方向的改变而改变。

它的正向压降很小(一般锗管约为0.2~0.3V,硅管约为0.5~0.7V),正向电流随正向压降的升高而急剧上升,而反向电压从零一直增加到十几至几十伏时,其反向电流增加很小,粗略地可视为零。

发光二极管正向电压在0.5~2.5V 之间时,正向电流有很大变化。

可见二极管具有单向导电性,但反向电压加得过高,超过管子的极限值,则会导致管子击穿损坏。

综合实验三 位直流数字电压表

综合实验三    位直流数字电压表

综合实验三213位直流数字电压表一、实验目的 1、了解双积分式A / D 转换器的工作原理2、熟悉213位A / D 转换器CC14433的性能及其引脚功能3、掌握用CC14433构成直流数字电压表的方法二、实验原理直流数字电压表的核心器件是一个间接型A / D 转换器,它首先将输入的模拟电压信号变换成易于准确测量的时间量,然后在这个时间宽度里用计数器计时,计数结果就是正比于输入模拟电压信号的数字量。

1、V -T 变换型双积分A / D 转换器图3-1是双积分ADC 的控制逻辑框图。

它由积分器(包括运算放大器A 1 和RC 积分网络)、过零比较器A 2,N 位二进制计数器,开关控制电路,门控电路,参考电压V R 与时钟脉冲源CP图3-1 双积分ADC 原理框图转换开始前,先将计数器清零,并通过控制电路使开关 S O 接通,将电容C 充分放电。

由于计数器进位输出Q C =0,控制电路使开关S 接通v i ,模拟电压与积分器接通,同时,门G 被封锁,计数器不工作。

积分器输出v A 线性下降,经零值比较器A 2 获得一方波v C ,打开门G ,计数器开始计数,当输入2n个时钟脉冲后t =T 1,各触发器输出端D n-1~D O 由111…1回到000…0,其进位输出Q C =1,作为定时控制信号,通过控制电路将开关S转换至基准电压源-V R ,积分器向相反方向积分,v A 开始线性上升,计数器重新从0开始计数,直到t =T 2,v A 下降到0,比较器输出的正方波结束,此时计数器中暂存二进制数字就是v i 相对应的二进制数码。

2、213位双积分A / D 转换器CC14433的性能特点 CC14433是CMOS 双积分式213位A / D 转换器,它是将构成数字和模拟电路的约7700多个MOS 晶体管集成在一个硅芯片上,芯片有24只引脚,采用双列直插式,其引脚排列与功能如图18-2所示。

图3-2 CC14433引脚排列引脚功能说明:V AG (1脚):被测电压V X 和基准电压V R 的参考地V R (2脚):外接基准电压(2V 或200mV )输入端V X (3脚):被测电压输入端R 1(4脚)、R 1 /C 1(5脚)、C 1(6脚):外接积分阻容元件端C 1=0.1μf (聚酯薄膜电容器),R 1=470K Ω(2V 量程);R 1=27K Ω(200mV 量程)。

电位电压的测定实验报告范文三篇_实验报告_

电位电压的测定实验报告范文三篇_实验报告_

电位电压的测定实验报告范文三篇篇一:电极电位的测量实验报告一. 实验目的1. 理解电极电位的意义及主要影响因素2. 熟悉甘汞参比电极的性能以及工作原理3. 知道电化学工作站与计算机的搭配使用方法二. 实验原理电极和溶液界面双电层的电位称为绝对电极电位,它直接反应了电极过程的热力学和动力学特征,但绝对电极电位是无法测量的。

在实际研究中,测量电极电位组成的原电池的电动势,而测量电极电位所用的参考对象的电极称为参考电极,如标准氢电极、甘汞电极、银-氯化银电极等,该电池的电动势为:E=φ待测-φ参比上述电池电动势可以使用高阻抗的电压表或电位差计来计量在该实验中,采用甘汞电极为研究电极,铁氰、化钾/亚铁氰、化钾为测量电极。

在1mol的KCl支持电解质下,分别用10mM摩尔比1:1和1:2的铁氰、化钾/亚铁氰、化钾溶液在常温(27℃)以及45℃下测量,收集数据,可得到相同温度不同浓度的两条开路电位随时间变化曲线、相同浓度不同温度的两条开路电位随时间变化曲线。

可以用电极电势的能斯特方程讨论温度对于电极电势的影响三. 实验器材电化学工作站;电解池;甘汞电极;玻碳电极;水浴锅铁氰、化钾/亚铁氰、化钾溶液(摩尔比1:1和1:2)(支持电解质为1M KCl);砂纸;去离子水四. 实验步骤1. 在玻碳电极上蘸一些去离子水,然后轻轻在细砂纸上打磨至光亮,最后再用去离子水冲洗。

电化学工作站的电极也用砂纸轻轻打磨2. 在电解池中加入铁氰、化钾/亚铁氰、化钾溶液至其1/2体积,将玻碳电极和甘汞电极插入电解池中并固定好,将两电极与电化学工作站连接好,绿色头的电极连接工作电极,白色头的电极连接参比电极。

3. 点开电化学工作站控制软件,点击setup—技术(technique)—开路电压—时间,设置记录时间为5min,记录数据时间间隔为0.1s,开始进行数据记录,完成后以txt形式保存实验结果。

4. 将电解池放入45度水浴锅中,再重复一次步骤2和步骤3。

基于ICL7107数字电压表

基于ICL7107数字电压表

西安电子科技大学长安学院课程设计设计题目:数字电压表的仿真与设计学院:长安学院系别:电子工程专业:电子科学与技术:班级:06521学号:06521002姓名:***指导老师:王勇目录一. 摘要 (2)二.课程设计任务与要求 (2)2.1设计目的 (2)2.2设计要求 (2)三.总体设计思路 (3)3.1方案选择 (3)3.2系统框图 (3)四.课程设计框图及工作原理 (4)4.1 工作原理 (4)4.2 ICL7107的工作原理 (5)4.3 ICL7107 安装电压表头时的一些要点 (8)4.4 关于多量程电路部分 (10)五.电路设计与仿真 (12)六.系统调试及结果分析 (13)6.1调试仪器 (13)6.2 调试方法 (13)6.3 测试结果分析 (13)6.4 硬件实物图 (13)七.元器件清单 (14)八.设计心得体会 (14)九.参考文献 (14)一.摘要数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。

目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。

与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。

本章重点介绍单片A/D 转换器以及由它们构成各种新型数字电压表的工作原理。

数字电压表具有以下九大特点:1. 显示清晰直观,读数准确2. 准确度高3. 分辨率高4. 测量范围宽5. 扩展能力强6. 测量速率快7.输入阻抗高8. 集成度高,微功耗9. 抗干扰能力强二.课程设计任务与要求2.1、设计目的1、了解双积分式A/D转换器的工作原理2、熟悉A/D转换器ICL7107的性能及其引脚功能3、掌握用ICL7107构成直流数字电压表的方法2.2、设计要求1、设计一个数字电压表电路。

《实验报告模板》

《实验报告模板》

重庆工程学院电子信息学院实验报告课程名称:开课学期:院(部):_ 开课实验室:_ 学生姓名: 专业班级: 学号:重庆工程学院学生实验报告课程名称实验项目名称开课院系实验日期学生姓名学号专业班级指导教师实验成绩教师评语:教师签字:批改时间:一、实验目的和要求1、学会测量电路中各点电位和电压的方法,理解电位的相对性和电压的绝对性;2、学会电路电位图的测量、绘制方法;3、掌握直流稳压电源、万用表的使用方法。

二、实验内容和原理在一个闭合电路中,各点电位的高低视所选的电位参考点的不同而变,但任意两点间的电位差(即电压)则是绝对的,它不因参考点的变动而改变。

电位图是一种平面坐标一、四两象限内的折线图。

其纵坐标为电位值,横坐标为各被测点。

要制作某一电路的电位图,先以一定的顺序对电路中各被测点编号。

以图5-1的电路为例,如图中的A~F, 并在坐标横轴上按顺序、均匀间隔标上A、B、C、D、E、F、A。

再根据测得的各点电位值,在各点所在的垂直线上描点。

用直线依次连接相邻两个电位点,即得该电路的电位图。

在电位图中,任意两个被测点的纵坐标值之差即为该两点之间的电压值。

在电路中电位参考点可任意选定。

对于不同的参考点,所绘出的电位图形是不同的,但其各点电位变化的规律却是一样的。

三、主要仪器设备序号名称型号与规格数量备注1 直流可调稳压电源0~30V 二路2 万用表 1 自备3 直流数字电压表0~200V 14 电位、电压测定实验电路板 1 DG-01四、实验操作方法和步骤利用DG-01实验挂箱上的“基尔霍夫定律/叠加原理”线路,按图5-1接线。

1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。

(先调准输出电压值,再接入实验线路中。

)2. 以图5-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。

实验一万用表毫伏表的使用

实验一万用表毫伏表的使用

实验一数字万用表、交流毫伏表及其使用一.实验目的:1.掌握万用表测量电阻、测量交直流电压的过程及测量数据的处理方法。

2.掌握毫伏表的使用方法。

3.了解毫伏表的工作频率极限。

4.学会毫伏表使用前的调零和校正。

二.实验仪器及材料:1.数字万用表2.WYK直流稳压电源3.色环电阻4.DF2170A毫伏表5. SFG-1003信号发生器三.实验原理:1、数字万用表数字万用表,采用9V叠层电池供电,整机功耗约20mW;采用LCD液晶1位万用表。

核心也是直流数字显示数字,最大显示数字为±1999,因而属于32电压表DVM(基本表)。

它主要由外围电路、双积分A/D转换器及显示器组成。

其中,A/D转换、计数、译码等电路都是由大规模集成电路芯片构成的。

(1)直流电压测量电路图1为数字万用表直流电压测量电路原理图,该电路是由电阻分压器所组成的外围电路和基本表构成。

把基本量程为200mV的量程扩展为五量程的直流电压挡。

图中斜线区是导电橡胶,起连接作用。

图1 数字万用表直流电压测量电路原理图(2)交流电压测量电路图2为数字万用表交流电压测量电路原理图。

由图可见,它主要由输入通道、降压电阻、量程选择开关、耦合电路、放大器输入保护电路、运算放大器输人保护电路、运算放大器、交-直流(AC/DC)转换电路、环形滤波电路及ICL7l06芯片组成。

图中,C1为输入电容。

VD11、VD12是C)的阻尼二极管,它可以防止C1两端出现过电压而影响放大器的输入端。

R21是为防止放大器输入端出现直流分量而设计的直流通道。

VD5、VD6互为反向连接,称为钳位二极管,起“守门”作用,防止输入至运算放大器062的信号超过规定值。

运算放大器062完成对交流信号的放大,放大后的信号经C5加到二极管VD7、VD8上,信号的负半周通过VD7,正半周通过VD8,完成对交流信号进行全波整流。

经整流后的脉动直流电压经电阻R26、R31和电容C6、C10组成的滤波电路滤波后,在R27、RP4上提取部分信号输人至基本表的输人端IN+。

数字电压表实验报告

数字电压表实验报告

数字电压表实验报告数字电压表实验报告引言:数字电压表是一种用于测量电压的电子仪器,它通过将电压信号转换为数字形式来显示测量结果。

本实验旨在通过使用数字电压表来测量不同电压信号,并探究其测量原理和使用方法。

实验目的:1. 理解数字电压表的工作原理;2. 学习使用数字电压表测量直流电压和交流电压;3. 掌握数字电压表的使用技巧。

实验器材:1. 数字电压表;2. 直流电源;3. 交流电源。

实验步骤:1. 将数字电压表与直流电源连接,调整电源输出电压为5V;2. 打开数字电压表,选择直流电压测量模式;3. 将数字电压表的测量引线分别与电源的正负极连接;4. 观察数字电压表的显示结果,并记录测量数值;5. 重复步骤1-4,将电源输出电压调整为不同数值,如10V、15V等,记录测量结果。

实验结果:在实验过程中,我们使用数字电压表测量了不同电压信号,并记录了测量结果。

通过分析实验数据,我们发现数字电压表能够准确地测量直流电压,并显示出相应的数值。

在测量过程中,我们注意到数字电压表的显示屏幕上有一个小数点,用于表示小数位数。

当电压信号较小时,小数点会显示更多的位数,以提高测量精度。

此外,我们还发现数字电压表的测量结果具有一定的误差,这可能是由于仪器本身的精度限制或测量过程中的误差引起的。

讨论与分析:通过本次实验,我们深入了解了数字电压表的工作原理和使用方法。

数字电压表通过将电压信号转换为数字形式,并通过显示屏幕上的数字来表示测量结果。

在测量直流电压时,数字电压表能够提供较高的测量精度,并且可以根据电压信号的大小自动调整小数位数。

然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。

结论:本次实验通过使用数字电压表测量不同电压信号,深入了解了数字电压表的工作原理和使用方法。

我们发现数字电压表能够准确地测量直流电压,并提供较高的测量精度。

然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。

北邮数电实验——简易数字电压表报告

北邮数电实验——简易数字电压表报告

数字电路与逻辑综合实验报告题目:简易电压表设计学院:电子工程学院专业:光电信息科学与工程班级:20132112学号:20132111姓名:一、 实验任务要求设计并实现一个简易数字电压表, 要求使用实验板上的串行 AD 芯片 ADS7816。

基本要求:1、测量对象:1-2 节干电池。

2、AD 参考电压:5V 。

3、用三位数码管显示测量结果, 保留两位小数。

4、被测信号超过测量范围有溢出显示并有声音提示。

5、按键控制测量和复位。

提高要求:1、能够连续测量。

2、自拟其他功能。

二、设计思路利用ADS7816作为电压采样端口,FPGA 作为系统的核心器件,用LED 进行数码显示,把读取的13位二进制数据转换成便利于输出3位十进制BCD 码送给数码管。

采用FPGA 芯片作为系统的核心器件,负责ADS7816的A/D 转换的启动、地址锁存、输入通道的选择、数据的读取。

同时,把读取的13位二进制数据转换成便于输出3位十进制的BCD 码送给数码管,以显示当前测量电压值。

三、 总体框图数字电压表整体设计框图,如下图所示,数字电压表系统由A/D 转换模块、FPGA 控制模块、数码显示模块三部分构成。

FPGA 控制模块控制外部A/D 转换器自动采样模拟信号,通过A/D 芯片转换为数字信号,再由FPGA 控制模块控制数码管动态扫描向外部数码管显示电路输出数据。

四、模块设计 1、A/D 转换模块(1)ADS7816工作原理ADS7816的工作时序图如图所示。

在ADS7816的工作时序中,串行时钟DCLK 用于同步数据转换,每位转换后的数据在DCLK 的下降沿开始传送。

因此,从Dout(数字数据输出引脚)引脚接收数据时,可在DCLK 的下降沿期间进行,也可以在DCLK 的上升沿期间进行。

通常情况下,采用在DCLK 的上升沿接收转换后的各位数据流。

CS 的下降沿用于启动转换和数据变换,CS 有效后的最初115至2个转换周期内,ADS7816采样输入信号,此时输出引脚Dout 呈三态。

数字电压表实验报告

数字电压表实验报告

数字电压表的综合设计一、设计题目:基于FPGA的数字电压表设计二、设计任务:1、具有0—2.5v的电压量程;2、通过LED灯显示2进制数字量;3、用FPGA设计制作成数字电压表的专用集成芯片,结合LED数码管构成一个能够实时显示的电压表。

三、总体设计框图:1、总体框图22、分模块设计框图:LED数码管显示模块TL549A/D处理模块3、程序代码:查找表程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity chazhaobiao isport(V:in std_logic_vector(7 downto 0);q:out std_logic_vector(11 downto 0));end entity chazhaobiao;architecture behav of chazhaobiao issignal c30,c74,c117,d0,d1:std_logic;signal HB,LB:std_logic_vector(11 downto 0);begin --A/D值的高4位转换成3位BCD码HB<="001001000000" WHEN V(7 DOWNTO 4)="1111" ELSE --2.40 "001000100100" WHEN V(7 DOWNTO 4)="1110" ELSE --2.24"001000001000" WHEN V(7 DOWNTO 4)="1101" ELSE --2.08"000110010010" WHEN V(7 DOWNTO 4)="1100" ELSE --1.92"000101110110" WHEN V(7 DOWNTO 4)="1011" ELSE --1.76"000101100000" WHEN V(7 DOWNTO 4)="1010" ELSE --1.60"000101000100" WHEN V(7 DOWNTO 4)="1001" ELSE --1.44"000100101000" WHEN V(7 DOWNTO 4)="1000" ELSE --1.28"000100010010" WHEN V(7 DOWNTO 4)="0111" ELSE --1.12"000010010110" WHEN V(7 DOWNTO 4)="0110" ELSE --0.96"000010000000" WHEN V(7 DOWNTO 4)="0101" ELSE --0.80"000001100100" WHEN V(7 DOWNTO 4)="0100" ELSE --0.64"000001001000" WHEN V(7 DOWNTO 4)="0011" ELSE --0.48"000000110010" WHEN V(7 DOWNTO 4)="0010" ELSE --0.32"000000010110" WHEN V(7 DOWNTO 4)="0001" ELSE --0.16"000000000000"; --0.00--A/D值低4位变为3位BCD码LB<="000000010101" WHEN V(3 DOWNTO 0)="1111" ELSE --0.15 "000000010100" WHEN V(3 DOWNTO 0)="1110" ELSE --0.14 "000000010011" WHEN V(3 DOWNTO 0)="1101" ELSE --0.13"000000010010" WHEN V(3 DOWNTO 0)="1100" ELSE --0.12"000000010001" WHEN V(3 DOWNTO 0)="1011" ELSE --0.11"000000010000" WHEN V(3 DOWNTO 0)="1010" ELSE --0.10"000000001001" WHEN V(3 DOWNTO 0)="1001" ELSE --0.09"000000001000" WHEN V(3 DOWNTO 0)="1000" ELSE --0.08"000000000111" WHEN V(3 DOWNTO 0)="0111" ELSE --0.07"000000000110" WHEN V(3 DOWNTO 0)="0110" ELSE --0.06"000000000101" WHEN V(3 DOWNTO 0)="0101" ELSE --0.05"000000000100" WHEN V(3 DOWNTO 0)="0100" ELSE --0.04"000000000011" WHEN V(3 DOWNTO 0)="0011" ELSE --0.03"000000000010" WHEN V(3 DOWNTO 0)="0010" ELSE --0.02"000000000001" WHEN V(3 DOWNTO 0)="0001" ELSE --0.01"000000000000" ; --0.00c30<='1' when HB(3 downto 0)+LB(3 downto 0)>"01001" else'0';d1<='1' when HB(3 downto 0)>="1000" and LB(3 downto 0)>="1000" else '0';c74<='1' when HB(7 downto 4)+LB(7 downto 4)>"01001" else'0';d0<='1' when HB(7 downto 4) + LB(7 downto 4) ="01001" else'0';c117<='1' when HB(11 downto 8)+LB(11 downto 8)>"01001" else '0';q(3 downto 0)<=HB(3 downto 0)+LB(3 downto 0)+"0110" whenc30='1' elseHB(3 downto 0)+LB(3 downto 0)+"0110" whend1='1' elseHB(3 downto 0)+LB(3 downto 0);q(7 downto 4)<=HB(7 downto 4)+LB(7 downto 4)+"0111" whenc74='1' and c30='1' elseHB(7 downto 4)+LB(7 downto 4)+"0110" whenc74='1' and c30='0' elseHB(7 downto 4)+LB(7 downto 4)+"0110" whenc74='0' and c30='1' and d0='1' elseHB(7 downto 4)+LB(7 downto 4)+"0001" whenc74='0' and (c30='1'or d1='1') and d0='0' elseHB(7 downto 4)+LB(7 downto 4);q(11 downto 8)<=HB(11 downto 8)+LB(11 downto 8)+"0111" whenc117='1' and c74='1' elseHB(11 downto 8)+LB(11 downto 8)+"0110" whenc117='1' and c74='0' elseHB(11 downto 8)+LB(11 downto 8)+"0001" whenc117='0' and c74='1' elseHB(11 downto 8)+LB(11 downto 8)+"0001" whenc117='0' and c74='0' and (c30='1'or d1='1') and d0='1' elseHB(11 downto 8)+LB(11 downto 8);end ;译码器程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity yima isport(clk:in std_logic;d:in std_logic_vector(11 downto 0);seg: out std_logic_vector(7 downto 0);sel: out std_logic_vector(2 downto 0));end entity yima;architecture behav of yima issignal wei:std_logic_vector(2 downto 0);signal num:std_logic_vector(3 downto 0);signal a:std_logic_vector(2 downto 0);beginnum<=d(3 downto 0) when a="000" elsed(7 downto 4) when a="001" elsed(11 downto 8) ;seg(7)<='0' when wei="011" else'1';wei<="110" when a="000" else"101" when a="001" else"011";sel<=wei;COM1: process(clk)beginif clk'event and clk='1' thena<=a+1;if a="010" then a<="000";end if;end if;end process COM1;COM2: process(num)begincase num iswhen "0000"=>seg(6 downto 0)<="1000000";--0when "0001"=>seg(6 downto 0)<="1111001";--1when "0010"=>seg(6 downto 0)<="0100100";--2when "0011"=>seg(6 downto 0)<="0110000";--3when "0100"=>seg(6 downto 0)<="0011001";--4when "0101"=>seg(6 downto 0)<="0010010";--5when "0110"=>seg(6 downto 0)<="0000010";--6when "0111"=>seg(6 downto 0)<="1111000";--7when "1000"=>seg(6 downto 0)<="0000000";--8when "1001"=>seg(6 downto 0)<="0010000";--9--when "1010"=>seg(6 downto 0)<="1110111";--when "1011"=>seg(6 downto 0)<="1111100";--when "1100"=>seg(6 downto 0)<="0111001";--when "1101"=>seg(6 downto 0)<="1011110";--when "1110"=>seg(6 downto 0)<="1111001";--when "1111"=>seg(6 downto 0)<="1110001";--when others=>seg(6 downto 0)<="0111111";when others=>seg(6 downto 0)<="1000000";end case;end process COM2;end;电压表顶层程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity dianyabiao isPort (clk : in std_logic; --50m系统时钟din : in std_logic; --(tlc549)串行数据输出端clk_tlc549 : out std_logic;cs_tlc549 : out std_logic; --tlc549的片选信号输入端shift : out std_logic_vector(3 downto 0);--动态扫描时的位选信号cs_led : out std_logic_vector(1 downto 0);--发光二极管及数码管的片选信号输入端dout_led : out std_logic_vector(7 downto 0));--惧的发光器件的信号输出端end dianyabiao;architecture Behavioral of dianyabiao istype state is (st1,st2);signal current_state : state;type state1 is (st0,st1,st2);signal current_state1 : state1;type state2 is (st0,st1,st2,st3,st4);signal current_state2 : state2;signal reg_datain : std_logic_vector(7 downto 0);signal reg_dout : std_logic_vector(15 downto 0);signal dout : std_logic_vector(4 downto 0);signal reg_din : integer range 0 to 80000;signal clk1m,clk1k,clk100 : std_logic;begin--分频部分process(clk) --产生1MHz的频率variable cnt : integer range 0 to 50;beginif clk'event and clk='1' then cnt:=cnt+1;if cnt<50 thenif cnt<25 then clk1m<='0';else clk1m<='1';end if;else cnt:=0;end if;end if;end process;process(clk1m) --产生1KHz的频率variable cnt : integer range 0 to 1000;beginif clk1m'event and clk1m='1' then cnt:=cnt+1;if cnt<1000 thenif cnt<500 then clk1k<='0';else clk1k<='1';end if;else cnt:=0;end if;end if;end process;process(clk1k) --产生100Hz的频率variable cnt : integer range 0 to 10;beginif clk1k'event and clk1k='1' then cnt:=cnt+1;if cnt<10 thenif cnt<5 then clk100<='0';else clk100<='1';end if;else cnt:=0;end if;end if;end process;--tlc549的控制部分process(clk1k)variable cnt : integer range 0 to 7;variable datain : std_logic_vector(7 downto 0);beginif clk1k'event and clk1k='1' thencase current_state iswhen st1=> --将数据进行串并转换cs_tlc549<='0';datain:=datain(6 downto 0)&din; --将读取的数据向高位移位clk_tlc549<='1';current_state<=st2;when st2=>cs_tlc549<='0';clk_tlc549<='0';current_state<=st1;if cnt<7 then cnt:=cnt+1; --读取8位数据else cnt:=0;reg_din<=conv_integer(datain)*195; --每单位数字量乘以系数=当前电压值;reg_datain<=not(datain);end if;when others=>current_state<=st1;end case;end if;end process;--十进制-BCD码转换;process(clk100)variable reg : integer range 0 to 80000;variable d1,d2,d3,d4 : std_logic_vector(3 downto 0);beginif clk100'event and clk100='1' thencase current_state1 iswhen st0=>reg:=reg_din;d1:="0000";d2:="0000";d3:="0000";d4:="0000";current_state1<=st1;when st1=>if reg>9999 then reg:=reg-10000;d1:=d1+1;elsif reg>999 then reg:=reg-1000;d2:=d2+1;elsif reg>99 then reg:=reg-100;d3:=d3+1;elsif reg>9 then reg:=reg-10;d4:=d4+1;else current_state1<=st2;end if;when st2=>reg_dout<=d1&d2&d3&d4;current_state1<=st0;when others=>current_state1<=st0;end case;end if;end process;--动态扫描控制;process(clk1k)beginif clk1k'event and clk1k='1' thencase current_state2 iswhen st0=> --在发光二极管上显示模数转换后的数字量cs_led<="01"; --熄灭数码管shift<="1111";dout<="11111";current_state2<=st1;when st1=> --在数码管的最高位显示数据cs_led<="10"; --熄灭发光二极管shift<="0111"; --最高位数码管显示dout<='0'&reg_dout(15 downto 12); --小数点显示,并且将最高位的数据送给译码器current_state2<=st2;when st2=> --在数码管的次高位显示数据cs_led<="10"; --熄灭发光二极管shift<="1011"; --次高位数码管显示dout<='1'&reg_dout(11 downto 8); --小数点不显示,将次高位的数据送给译码器current_state2<=st3;when st3=> --在数码管的次低位显示数据cs_led<="10"; --熄灭发光二极管shift<="1101"; --次低位数码管显示dout<='1'&reg_dout(7 downto 4); --小数点不显示,将次低位的数据送给译码器current_state2<=st4;when st4=> --在数码管的最低位显示数据cs_led<="10"; --熄灭发光二极管shift<="1110"; --最低位数码管显示dout<='1'&reg_dout(3 downto 0); --小数点不显示,将最低位的数据送给译码器current_state2<=st0;when others=>current_state2<=st0;end case;end if;end process;--**将BCD码进行8段译码(包括小数点)**----**dout(4)代表小数点,低电平点亮**--code1: process (dout,reg_datain)begincase dout(3 downto 0) iswhen "0000"=>dout_led<=dout(4)&"0000001";when "0001"=>dout_led<=dout(4)&"1001111";when "0010"=>dout_led<=dout(4)&"0010010";when "0011"=>dout_led<=dout(4)&"0000110";when "0100"=>dout_led<=dout(4)&"1001100";when "0101"=>dout_led<=dout(4)&"0100100";when "0110"=>dout_led<=dout(4)&"0100000";when "0111"=>dout_led<=dout(4)&"0001111";when "1000"=>dout_led<=dout(4)&"0000000";when "1001"=>dout_led<=dout(4)&"0000100";--"DOUT_LED"送给数码管;whenothers=>dout_led<=reg_datain(7)&reg_datain(0)&reg_datain(1)&reg_datain(2)&re g_datain(3)&reg_datain(4)&reg_datain(5)&reg_datain(6);--"DOUT_LED"送给发光二极管;end case;end process;end Behavioral;四、波形仿真图:五、结论:本次实验达到了实验的基本要求,能够通过调节高精密变阻器实现0—2.5v的电压测量及显示。

电工和电子技术(A)1实验报告

电工和电子技术(A)1实验报告

实验一 电位、电压的测定及基尔霍夫定律1.1电位、电压的测定及电路电位图的绘制一、实验目的1.验证电路中电位的相对性、电压的绝对性2. 掌握电路电位图的绘制方法三、实验内容利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。

1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。

(先调准输出电压值,再接入实验线路中。

)2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。

3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。

图1-1四、思考题若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化?答:五、实验报告1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。

两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。

答:2. 完成数据表格中的计算,对误差作必要的分析。

答:3. 总结电位相对性和电压绝对性的结论。

答:1.2基尔霍夫定律的验证一、实验目的1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。

2. 学会用电流插头、插座测量各支路电流。

二、实验内容实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。

1. 实验前先任意设定三条支路电流正方向。

如图1-1中的I1、I2、I3的方向已设定。

闭合回路的正方向可任意设定。

2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。

3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。

4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。

5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。

三、预习思考题1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。

数字电压表的设计

数字电压表的设计
2018/10/16 1
3. 数字电压表的测量原理与主要器件性能分析
直流数字电压表的核心器件是一个间接型A / D转换器: 3.1.双积分A/D转换器 1).转换方式 :V-T型间接转换ADC。输入的模拟电压信 号变换成易于准确测量的时间量,然后在这个时间宽度 里用计数器计时,计数结果就是正比于输入模拟电压信 号的数字量。 2).电路结构 :图-1是这种转换器的原理电路, ①积分器A1:定时信号控制开关S2,Qn为不同电平时, 极性相反的输入电压Vx和参考电压 VREF将分别加到积分 器的输入端,进行两次方向相反的积分,积分时间常数 τ=RC。 ②过零比较器A2:确定积分器的输出电压V0过零的时刻, V0≥0时比较器输出VC为低电平;当V0<0时,VC为高电平. 输出信号接至时钟控制门(G)作为关门和开门信号
Vi
8
1
7
2
6
3
5
4
MC1403
Vo GND
4.2. 标准电压源的连接和调整:
插上MC1403基准电源,用标准数字电压表检查输出是否为2.5V,然后 调整10KΩ电位器,使其输出电压为2.00V,调整结束后去掉电源线。
2018/10/16 9
4. 3. 总装测试的方法与步骤:
1)接线:按设计电路接好线路,并插上MC(TC)14433及MC1413等芯片。 2) 通电显示检查:接通+5V、-5V电源及地线,当输入端接地,此时显示 器将显示“000”值,否则,应依次检测电源正负电压,用示波器测量、 观察DS1~DS4 ,Q0~Q3波形,判别故障所在。 3) 电压粗测:调节输入电压VX 的高低,4位输出显示数码应相应变化,然 后进入下一步精调。 4)测量基准校正: 用标准数字万用表(示波器)测量输入电压,调节电位器,使

数字电压表课程设计实验报告

数字电压表课程设计实验报告

自动化与电气工程学院电子技术课程设计报告题目数字电压表的制作专业班级学号学生指导教师二○一三年七月一、课程设计的目的与意义1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作容和具体的设计方法。

2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。

3.通过设计也有助于复习和巩固以往的模电、数电容,达到灵活应用的目的。

在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。

在此过过程中培养从事设计工作的整体观念。

4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。

二、电路原理图数字电压表原理图三、课程设计的元器件1.课程设计所使用的元器件清单:2.主要元器件介绍(1)芯片ICL7107:ICL7107的工作原理双积分型A/D转换器ICL7107是一种间接A/D转换器。

它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。

它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。

积分器是A/D转换器的心脏,在一个测量周期,积分器先后对输入信号电压和基准电压进行两次积分。

比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。

时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。

它是由部的两个反向器以及外部的RC组成的。

其振荡周期Tc=2RCIn1.5=2.2RC 。

ICL7106A/D转换器原理图计数器对反向积分过程的时钟脉冲进行计数。

控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。

分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。

数字电压表实验报告

数字电压表实验报告

实验五电压表实验1.设计过程:按照“建立项目文件”--“新建VHDL源文件”--“配置管脚”--“编译下载”--“芯片测试”的顺序。

原理图:1.FPGA的系统时钟来自于小脚丫FPGA开发板配置的24MHz时钟晶振,连接FPGA的C1引脚。

2.实验借助FPGA底板自带的ADC模块,具体信息如下:ADC模块的功能时实现模拟信号转换数字信号,主要包含P1(测试点)、Radj1(10K的可调电位器)和U3(8位串行模数转换器ADC081S)。

在不外接其他信号的情况下,旋转电位计,ADC081S的输入电压在0到3.3V之间变化,通过模数转换实现ADC的采样,原理图连接如图所示:3.实验思路如下:1.根据ADC模块的时序图,完成将ADC模块和FPGA引脚连接起来。

时序图如下:2. 根据程序的框图把完整的程序写出来,显示模块和译码模块利用以前写过的进行例化使用。

以下是程序的框图:VHDL 汇编语言如下: 2.总代码: library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity dianyabiao is port( clk: in std_logic;--定义输入和输出 sda: in std_logic; sclk: buffer std_logic; cs: out std_logic; sdata: out std_logic; sck: out std_logic; rck: out std_logic );end dianyabiao;architecture one of dianyabiao is component led_573 --例化port( rst: in std_logic; clk_t_in : in std_logic; sdata: out std_logic; H: in std_logic; ledcode1,ledcode2,ledcode3,ledcode4: in std_logic_vector(6 downto 0); dot: in std_logic_vector(3 downto 0);sda sck cssck: out std_logic;rck: out std_logic);end component;component seg7coderport(data_in: in integer range 0 to 15;seg7_out: out std_logic_vector(6 downto 0));end component;signal ad_phase: integer range 0 to 19;signal clk_t_in: std_logic;signal ad_clk: std_logic;signal ad_out:std_logic_vector(7 downto 0);signal ad:std_logic_vector(7 downto 0);signal data_in1:integer range 0 to 15;signal data_in2:integer range 0 to 15;signal seg1,seg2: std_logic_vector(6 downto 0); --七段码beginu1:seg7coderport map(data_in => data_in1,seg7_out => seg1);u2:seg7coderport map(data_in => data_in2,seg7_out => seg2);u3:led_573port map(rst=>'1',clk_t_in => clk,H => '1',dot => "0000",ledcode1 => seg1,ledcode2 => seg2,ledcode3 =>"1111110",ledcode4 =>"1111110",sdata => sdata,sck => sck,rck => rck);--得到分频时钟,50-20000khz的分频process(clk)variable divcnt: integer range 0 to 1000;beginif clk'event and clk='1' thenif divcnt=1000 thendivcnt:=0;clk_t_in<=not clk_t_in;elsedivcnt:=divcnt+1;clk_t_in<=clk_t_in;end if;end if;end process;--ad模快process(clk_t_in)-- ad_clk<=clk_t_in;beginif clk_t_in'event and clk_t_in = '1' thensclk<=not sclk;if sclk='1' thenif ad_phase = 19 thenad_phase<=0;elsead_phase<=ad_phase+1;end if;end if;if sclk='0' and ad_phase>16 then --保证cs的值cs<='1';elsecs<='0';end if;if ad_phase=3 and sclk='0' then --值的输出ad(7)<=sda;end if;if ad_phase=4 and sclk='0' thenad(6)<=sda;end if;if ad_phase=5 and sclk='0' thenad(5)<=sda;end if;if ad_phase=6 and sclk='0' thenad(4)<=sda;end if;if ad_phase=7 and sclk='0' thenad(3)<=sda;end if;if ad_phase=8 and sclk='0' thenad(2)<=sda;end if;if ad_phase=9 and sclk='0' thenad(1)<=sda;end if;if ad_phase=10 and sclk='0' thenad(0)<=sda;end if;if ad_phase=11 and sclk='0' thenad_out<=ad;end if;end if;end process;process(ad_out) --完成将ad_out的八位输出转化成两位16进制的数begincase ad_out(3 downto 0) is--when "0000"=>data_in1<=0;when "0001"=>data_in1<=1;when "0010"=>data_in1<=2;when "0011"=>data_in1<=3;when "0100"=>data_in1<=4;when "0101"=>data_in1<=5;when "0110"=>data_in1<=6;when "0111"=>data_in1<=7; when "1000"=>data_in1<=8; when "1001"=>data_in1<=9; when "1010"=>data_in1<=10; when "1011"=>data_in1<=11; when "1100"=>data_in1<=12; when "1101"=>data_in1<=13; when "1110"=>data_in1<=14; when "1111"=>data_in1<=15; end case;case ad_out(7 downto 4) is when "0000"=>data_in2<=0; when "0001"=>data_in2<=1; when "0010"=>data_in2<=2; when "0011"=>data_in2<=3; when "0100"=>data_in2<=4; when "0101"=>data_in2<=5; when "0110"=>data_in2<=6; when "0111"=>data_in2<=7; when "1000"=>data_in2<=8; when "1001"=>data_in2<=9; when "1010"=>data_in2<=10; when "1011"=>data_in2<=11; when "1100"=>data_in2<=12; when "1101"=>data_in2<=13; when "1110"=>data_in2<=14; when "1111"=>data_in2<=15; end case;end process;end architecture;3.管脚分配:4.实验现象:旋转电压旋钮,电压从00变化到FF,现象如下面所示:。

数字电压表实验报告

数字电压表实验报告

数字电压表实验报告《数字电压表实验报告》实验目的:通过使用数字电压表,掌握其基本使用方法并了解电压测量的原理。

实验仪器:数字电压表、直流电源、导线等。

实验原理:数字电压表是一种用于测量电压的仪器,它通过将待测电压转换为数字信号并显示在屏幕上,从而实现对电压的测量。

其测量原理是利用电压测量电路将待测电压转换为相应的电流信号,再通过内部的模数转换器将电流信号转换为数字信号,最后通过显示装置显示出来。

实验步骤:1. 连接实验仪器:首先将数字电压表的正负极与待测电路的正负极相连,并确保连接正确无误。

2. 调整量程:根据待测电压的大小,选择合适的量程,并将数字电压表的旋钮调整到相应的量程档位。

3. 测量电压:打开待测电路的电源,观察数字电压表的显示数值,并记录下来。

4. 关闭电源:待测电压测量完成后,关闭待测电路的电源,并拔掉连接线。

实验结果:根据实验结果,我们可以得出待测电路的电压为多少,并且通过对比不同量程下的测量结果,了解数字电压表的量程选择原则。

实验结论:通过本次实验,我们掌握了数字电压表的基本使用方法,并了解了电压测量的原理。

同时,我们也了解了数字电压表的量程选择原则,并且通过实验结果验证了其准确性。

实验中的注意事项:在进行实验时,要注意连接线路的正确性,避免接错极性导致仪器损坏。

同时,在选择量程时要根据待测电压的大小进行合理选择,避免选择错误导致测量不准确。

总结:通过本次实验,我们对数字电压表的使用方法和原理有了更深入的了解,这对我们今后的实验操作和电路调试都有着重要的指导意义。

希望通过不断的实践和学习,我们能够更加熟练地掌握数字电压表的使用技巧,并且在工程实践中能够准确地测量电压并进行相应的调试。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

简易数字电压表设计报告姓名:何绍金班级:自动化1202学号:201203870408指导教师:贾立新2014年11月26日一.设计题目采用C8051F360单片机最小系统设计一个简易数字电压表,实现对0~3.3V 直流电压的测量。

二.设计原理模拟输入电压通过实验板PR3电位器产生,A/D转换器将模拟电压转换成数字量,并用十进制的形式在LCD上显示。

用一根杜邦实验线将J8口的0~3.3V输出插针与J7口的P2.0插针相连。

注意A/D转换器模拟输入电压的范围取决于其所选择的参考电压,如果A/D 转换器选择内部参考电压源,其模拟电压的范围0~2.4V,如果选择外部电源作为参考电压,则其模拟输入电压范围为0~3.3V。

原理框图如图1所示。

图1 简易数字电压表实验原理框图三.设计方案1.设计流程图如图2所示。

图2 简易数字电压表设计A/D转换和计时流程图2.实验板连接图如图3所示。

图3 简易数字电压表设计实验板接线图3.设计步骤(1)编写C8051F360和LCD 初始化程序。

(2)AD 转换方式选用逐次逼近型,A/D 转换完成后得到10位数据的高低字节分别存放在寄存器ADCOH 和ADC0L 中,此处选择右对齐,转换时针为2MH Z 。

(3)选择内部参考电压2.4V 为基准电压(在实际单片机调试中改为3.311V ),正端接P2.0,负端接地。

四、测试结果在0V~3.3V 中取10组测试数据,每组间隔约为0.3V 左右,实验数据如表1所示:显示电压(V ) 2.050 2.383 2.652 2.935 3.246 实际电压(v ) 2.061 2.391 2.660 2.943 3.253 相对误差(%)0.4210.3340.3010.2720.215表1 简易数字电压表设计实验数据(注:其中显示电压指LCD 显示值,实际电压指高精度电压表测量值)五.设计结论1.LCD 显示模块的CPLD 部分由FPGA 充当,芯片本身自带程序,所以这个部分不用再通过quartus 软件进行编程。

2.在参考电压选择过程中发现,单片机实际最大电压并不是2.4V 而是3.3V ,则在转换中将3.3V 替换2.4V 即可。

3.开始时发现调节电阻之后,实际电压值改变但LCD 显示电压值并没有发生改变,原因是:EC6仿真器没有开启并且每次KEIL 重新开启后,要重新输入相应解码。

显示电压(V ) 0.206 0.504 0.805 1.054 1.406 实际电压(v ) 0.210 0.510 0.812 1.061 1.414 相对误差(%) 1.905 1.176 0.862 0.659 0.565附录:程序源代码(C语言)/*简易数字电压表*/#include <C8051F360.H>#define uchar unsigned char#define uint unsigned int#define WCOMADDR 0xC008 //写命令寄存器的地址#define WDA TADDR 0xC009 //写数据寄存器的地址#define RCOMADDR 0xC00A //读命令寄存器的地址#define RDA TADDR 0xC00B //读数据寄存器的地址#define KEYCS 0xC00Cuchar code hanzi[] = "简易数字电压表";uchar code keynum[]="键值";uchar code keyc[]="次数";uint time=0;float volt;uint v[4];uint A T,voltage;uchar keyn,keycode;void OscInit(); //内部振荡器初始化void PortIoInit(); //I/O端口初始化void XramInit(); //外部数据存储器接口初始化void PcaInit(); //PCA初始化(设置看门狗定时器的工作状态)void InitDevice(); //内部资源初始化void CheckLcd(); //检查LCD是否空闲子程序void WriteCom(uchar n); //Lcd写指令子程序void WriteData(uchar m); //Lcd写数据子程序void InsitiLcd(); //Lcd初始化子程序void DispHan( uchar code *a,uchar m,uchar k);//显示汉字子程序void TimerInit(); //定时器初始化void InterruptsInit(); //中断系统初始化void ADC_init(); //ADC0初始化详见书本P144 void Int0Init(); //外部中断初始化void main(){uchar i;InitDevice(); //F360初始化InsitiLcd(); //LCD模块初始化ADC_init();DispHan(hanzi,0x90,0x0e); //显示“键盘显示测试程序”AD0BUSY=1;while(1){if(TF0==1){TF0=0;TL0=0xf0;TH0=0xd8; //重置时间常数10mstime++;}if(time >=49){time = 0;A T=ADC0H*256+ADC0L;volt=A T*0.003234;voltage=volt*1000;for(i=0;i<4;i++){v[i]=voltage%10;voltage=voltage/10;}WriteCom(0x8d);WriteData(v[3]+0x30);WriteData(0x2e);WriteData(v[2]+0x30);WriteData(v[1]+0x30);WriteData(v[0]+0x30);TR0=1;AD0BUSY=1;}}}void ReadKey() interrupt 0{uchar xdata *addr;uchar c1,c2;addr=KEYCS;keycode=*addr;keycode&=0x0F;keyn++;DispHan(keynum,0x88,0x04);WriteCom(0x8b);if(keycode<10){WriteData(0x30);WriteData(keycode+0x30);}else{c1=keycode%10;c2=keycode/=10;WriteData(c2+0x30);WriteData(c1+0x30);}DispHan(keyc,0x98,0x04);WriteCom(0x9b);if(keyn==10)keyn=0;WriteData(keyn+0x30);}void Int0Init(){EA=1;IT01CF=0x05;EX0=1;IT0=1;}void OscInit(void) //内部振荡器初始化{SFRPAGE=0x0f; //选择特殊功能寄存器页地址OSCICL=OSCICL+4;OSCICN=0xc2; //允许内部振荡器,频率除2作为SYSCLK=12MHzCLKSEL=0x00; //选择内部振荡器SFRPAGE=0x00;}void ADC_init(){ADC0CF=0x28; // 选择内部参考电压2.4V为基准ADC0CN=0x80; //正端接P2.0AMX0P=0x08; //负端接地AMX0N=0x1F; //右对齐,转换时针为2MHZREF0CN=0x08; //写AD0BUSY启动A/D转换器}void TimerInit(){TMOD=0x01;TH0=0xd8;TL0=0xf0;TR0=1;}void InterruptsInit(void){EA=1;ET0=1;EX0=1;PX0=1;IE0=0;}void PortIoInit(void) //I/O口初始化{SFRPAGE=0x0f;P0MDIN=0xe7; //P0.3、P0.4模拟量输入P0MDOUT=0x83; //P0.0、P0.1、P0.7推拉式输出P0SKIP=0xf9; //P0.1、P0.2被交叉开关跳过P1MDIN=0xff; //P1设置为数字量输入P1MDOUT=0xff; //P1设置为推拉式输出P1SKIP=0xff; //P1被交叉开关跳过P2MDIN=0xff; //P2设置为数字量输入P2MDOUT=0xff; //P2设置为推拉式输出P2SKIP=0xff;P3MDIN=0xff; //P3设置为数字量输入P3MDOUT=0xff; //P3设置为推拉式输出P3SKIP=0xff;P4MDOUT=0xff; //P4.5设为OC输出,其余推拉式输出XBR0=0x01; //使能UARTXBR1=0xC0; //禁止弱上拉,交叉开关允许SFRPAGE=0x00;return;}void XramInit(void) //外部数据储存器初始化{SFRPAGE=0x0f;EMI0CF=0x07; //引脚复用方式SFRPAGE=0x00;return;}void PcaInit(void) //PCA初始化{PCA0CN=0x40; //允许PCA计数器/定时器PCA0MD=0x00; //禁止看门狗定时器return;}void InitDevice(void){OscInit();PortIoInit();XramInit();//SmbInit();//UartInit();ADC_init();TimerInit();InterruptsInit();Int0Init();PcaInit();return;}void CheckLcd(){uchar temp = 0x00;uchar xdata *addr;while (1){addr=RCOMADDR;temp=*addr;temp &= 0x80;if(temp == 0x00)break;}}void WriteCom(uchar n){uchar xdata *addr;CheckLcd();addr=WCOMADDR;*addr=n;}void WriteData(uchar m){uchar xdata *addr;CheckLcd();addr=WDA TADDR;*addr = m;}void InsitiLcd(){WriteCom(0x30); //设为基本指令集WriteCom(0x01); //清屏WriteCom(0x0c); //开整体显示}void DispHan( uchar code *a,uchar m,uchar k) // 书本177页有详细解释{uchar dat, i, j, length;length = k/2;WriteCom(m);for (i=0;i < length;i++){j = 2*i;dat = a[j];WriteData(dat);dat = a[j+1];WriteData(dat);}WriteData(0x3a);}void DispShu( unsigned int a,uchar m){WriteCom(m);WriteData(a+0x30);}。

相关文档
最新文档