数字逻辑设计实验报告

合集下载

数字逻辑实验报告实验

数字逻辑实验报告实验

一、实验目的1. 理解数字逻辑的基本概念和基本原理。

2. 掌握数字逻辑电路的基本分析方法,如真值表、逻辑表达式等。

3. 熟悉常用数字逻辑门电路的功能和应用。

4. 提高数字电路实验技能,培养动手能力和团队协作精神。

二、实验原理数字逻辑电路是现代电子技术的基础,它主要研究如何用数字逻辑门电路实现各种逻辑功能。

数字逻辑电路的基本元件包括与门、或门、非门、异或门等,这些元件可以通过组合和连接实现复杂的逻辑功能。

1. 与门:当所有输入端都为高电平时,输出端才为高电平。

2. 或门:当至少有一个输入端为高电平时,输出端为高电平。

3. 非门:将输入端的高电平变为低电平,低电平变为高电平。

4. 异或门:当输入端两个高电平或两个低电平时,输出端为低电平,否则输出端为高电平。

三、实验内容1. 实验一:基本逻辑门电路的识别与测试(1)认识实验仪器:数字电路实验箱、逻辑笔、示波器等。

(2)识别与测试与门、或门、非门、异或门。

(3)观察并记录实验现象,分析实验结果。

2. 实验二:组合逻辑电路的设计与分析(1)设计一个简单的组合逻辑电路,如加法器、减法器等。

(2)根据真值表列出输入输出关系,画出逻辑电路图。

(3)利用逻辑门电路搭建电路,进行实验验证。

(4)观察并记录实验现象,分析实验结果。

3. 实验三:时序逻辑电路的设计与分析(1)设计一个简单的时序逻辑电路,如触发器、计数器等。

(2)根据电路功能,列出状态表和状态方程。

(3)利用触发器搭建电路,进行实验验证。

(4)观察并记录实验现象,分析实验结果。

四、实验步骤1. 实验一:(1)打开实验箱,检查各电路元件是否完好。

(2)根据电路图连接实验电路,包括与门、或门、非门、异或门等。

(3)使用逻辑笔和示波器测试各逻辑门电路的输出,观察并记录实验现象。

2. 实验二:(1)根据实验要求,设计组合逻辑电路。

(2)列出真值表,画出逻辑电路图。

(3)根据逻辑电路图连接实验电路,包括所需逻辑门电路等。

数字逻辑综合设计实验报告

数字逻辑综合设计实验报告

数字逻辑综合设计实验报告本次数字逻辑综合设计实验旨在通过集成数字电路设计的各项技能,实现课程中所学的数字逻辑电路的设计和应用。

本文将从实验流程、实验过程和实验结果三个方面进行详细阐述。

一、实验流程1.确定实验内容和目的。

2.设计电路,包括逻辑门、时序电路和其他数字电路。

3.将电路图转化为器件链路图。

4.验证器件是否可以直接连接,确定器件安装方式。

5.安装器件,焊接电路板。

6.进行测试和调试,确认电路是否可以正常工作。

7.完成实验报告并提交。

二、实验过程1.确定实验内容和目的本次实验的内容是建立一个多功能的数字电路,实现数字电路的常见功能,包括计数器、时序控制器等。

本次实验的目的是通过对数字电路设计的综合应用,提高学生对数字电路设计的实践能力。

2.设计电路在确定实验内容和目的之后,我们需要对电路进行设计。

为了实现功能的复杂性,我们设计了一个包含多个逻辑门、计数器和其他数字电路的复杂电路。

3.将电路图转化为器件链路图在完成电路设计后,我们需要将电路图转化为器件链路图。

我们需要根据电路设计中使用的器件类型和数量来确定器件链路图。

在转化过程中,我们需要考虑器件之间的连接方式、信号传输、电源连接等因素。

4.验证器件是否可以直接连接,确定器件安装方式对于电路板的安装和器件之间的连接问题,我们需要进行仔细的测试和验证。

只有当所有器件都可以无误地连接到电路板上并正常工作时,我们才能确定最佳的器件安装方式。

5.安装器件,焊接电路板完成以上所有的测试和验证后,我们可以开始完成电路板的安装。

在安装过程中,我们需要仔细按照器件链路图和设计图来进行布线和连接。

最后,我们需要进行焊接,确保连接性能和电路板的可靠性。

6.进行测试和调试,确认电路是否可以正常工作完成器件安装和焊接后,我们需要进行测试和调试。

我们需要检查每个部分的性能和功能,以确保电路可以正常工作。

如果我们发现任何错误或问题,我们需要进行进一步的调试和修复。

7.完成实验报告并提交。

数字逻辑设计实验报告

数字逻辑设计实验报告

一、实验目的1. 理解和掌握数字逻辑设计的基本原理和方法。

2. 熟悉数字电路的基本门电路和组合逻辑电路。

3. 培养动手能力和实验技能,提高逻辑思维和解决问题的能力。

4. 熟悉数字电路实验设备和仪器。

二、实验原理数字逻辑设计是计算机科学与技术、电子工程等领域的基础课程。

本实验旨在通过实际操作,让学生掌握数字逻辑设计的基本原理和方法,熟悉数字电路的基本门电路和组合逻辑电路。

数字逻辑电路主要由逻辑门组成,逻辑门是数字电路的基本单元。

常见的逻辑门有与门、或门、非门、异或门等。

根据逻辑门的功能,可以将数字电路分为组合逻辑电路和时序逻辑电路。

组合逻辑电路的输出只与当前输入有关,而时序逻辑电路的输出不仅与当前输入有关,还与之前的输入有关。

三、实验内容1. 逻辑门实验(1)实验目的:熟悉逻辑门的功能和特性,掌握逻辑门的测试方法。

(2)实验步骤:① 将实验箱中的逻辑门连接到测试板上。

② 根据实验要求,将输入端分别连接高电平(+5V)和低电平(0V)。

③ 观察输出端的变化,记录实验数据。

④ 分析实验结果,验证逻辑门的功能。

2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计方法,熟悉常用组合逻辑电路。

(2)实验步骤:① 根据实验要求,设计组合逻辑电路。

② 将电路连接到实验箱中。

③ 根据输入端的不同组合,观察输出端的变化,记录实验数据。

④ 分析实验结果,验证电路的功能。

3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计方法,熟悉常用时序逻辑电路。

(2)实验步骤:① 根据实验要求,设计时序逻辑电路。

② 将电路连接到实验箱中。

③ 观察电路的输出变化,记录实验数据。

④ 分析实验结果,验证电路的功能。

四、实验结果与分析1. 逻辑门实验结果:通过实验,验证了逻辑门的功能和特性,掌握了逻辑门的测试方法。

2. 组合逻辑电路实验结果:通过实验,掌握了组合逻辑电路的设计方法,熟悉了常用组合逻辑电路。

3. 时序逻辑电路实验结果:通过实验,掌握了时序逻辑电路的设计方法,熟悉了常用时序逻辑电路。

西北工业大学数字逻辑实验报告(0123403034循环)

西北工业大学数字逻辑实验报告(0123403034循环)

数字电路技术实验报告一、学号: 姓名: 日期:实验目的:(1).用数码显示管实现0.1.2.3.4.0.3.0.3.4;(2).用74LS90,5421BCD码实现模十计数;二、实验设备:(1).数字电路试验箱;(2).数字双踪示波器;(3).函数信号发生器;(4).集成电路: 74LS90;(5).集成电路: 74LS00;三、实验原理:计数是一种最简单的基本运算计数器在数字系统中主要是对脉冲的个数进行计数以实现测量、计数和控制的功能同时兼有分频功能。

计数器按计数进制分为二进制计数器十进制计数器和任意进制计数器按计数单元中触发器所接收计数脉冲和翻转顺序分为异步计数器同步计数器按计数功能分有加法计数器减法计数器可逆双向计数器等。

异步清零2-5-10进制异步计数器74LS9074LS90是一块2-5-10进制异步计数器它由四个主从JK触发器和一些附加门电路组成其中一个触发器构成一位二进制计数器另三个触发器构成异步五进制计数器。

在74LS90计数器电路中设有专用置0端R01 R02和置9端S91 S92 当R1=R2=S1=S2=0时时钟从CP1引入Q0输出为二进制时钟从CP2引入Q3输出为五进制时钟从CP1引入Q0接CP2即二进制的输出与五进制的输入相连则Q3Q2Q1Q0输出为十进制8421BCD 码时钟从CP2引入而Q3接CP1即五进制的输出与二进制的输入相连Q0Q3Q2Q1输出为十进制5421BCD码。

74LS90管脚定义74LS00管脚定义74LS90功能表四、实验内容:(1).用74LS90实现0123403034 (2).用5421BCD实现计数;五、实验结果:(1).列出真值表;(2).画出卡诺图;(3).按化简结果连接图;(循环数字列表)(1).F8=0;.四变量卡诺图:F 2=Q .Q .Q .Q 1020;F 1=Q 1;(5).把F 8接地;F 4接Q3;F 2与相接Q .Q .Q .Q 1020;F 1与Q 1链接;六、心得体会:这次实验综合性较强, 主要考察了我们从实际问题中抽象出逻辑函数的能力。

数字逻辑实验报告金科

数字逻辑实验报告金科

一、实验目的1. 理解数字逻辑的基本概念和基本原理。

2. 掌握常用数字逻辑门的功能和特性。

3. 学会使用数字逻辑电路设计简单功能电路。

4. 提高实验操作能力和分析问题、解决问题的能力。

二、实验器材1. 数字逻辑实验箱2. 逻辑门电路芯片3. 逻辑测试笔4. 连接线5. 逻辑分析仪6. 示波器三、实验原理数字逻辑是研究数字信号和数字系统的一门学科。

它主要研究数字电路的设计、分析和实现。

数字逻辑的基本元件包括逻辑门、触发器、寄存器等。

本实验主要涉及以下几种逻辑门:1. 与门(AND):只有当所有输入端都为高电平时,输出才为高电平。

2. 或门(OR):只要有一个输入端为高电平,输出就为高电平。

3. 非门(NOT):输入为高电平时,输出为低电平;输入为低电平时,输出为高电平。

4. 异或门(XOR):只有当两个输入端电平不同时,输出才为高电平。

四、实验内容1. 逻辑门功能测试(1)测试与门、或门、非门、异或门的功能。

(2)使用逻辑测试笔和逻辑门电路芯片,观察输入和输出之间的关系。

2. 组合逻辑电路设计(1)设计一个简单的组合逻辑电路,实现二进制加法功能。

(2)使用逻辑门电路芯片和连线,搭建电路。

(3)测试电路功能,验证其正确性。

3. 时序逻辑电路设计(1)设计一个简单的时序逻辑电路,实现计数功能。

(2)使用触发器、寄存器等时序逻辑元件,搭建电路。

(3)测试电路功能,验证其正确性。

五、实验步骤1. 准备工作(1)检查实验器材是否齐全,确保实验顺利进行。

(2)阅读实验指导书,了解实验原理和步骤。

2. 逻辑门功能测试(1)将逻辑门电路芯片插入实验箱。

(2)根据实验指导书,连接输入和输出端口。

(3)使用逻辑测试笔,观察输入和输出之间的关系。

3. 组合逻辑电路设计(1)根据设计要求,选择合适的逻辑门。

(2)使用连线,搭建组合逻辑电路。

(3)测试电路功能,验证其正确性。

4. 时序逻辑电路设计(1)根据设计要求,选择合适的时序逻辑元件。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告一、引言数字逻辑实验是电子信息类专业的一门重要实践课程。

本实验报告旨在记录和总结我在数字逻辑实验中的学习和实践经验,分享我对数字逻辑的理解和应用。

二、实验概述本次数字逻辑实验的主题是设计一个简单的加法器电路。

实验目的是通过实践操作和设计,加深对数字逻辑电路的理解,并掌握逻辑门的使用和联接方式。

三、实验步骤1. 学习并熟悉逻辑门的基本原理和真值表。

2. 根据加法器的要求,确定所需的逻辑门类型和数量。

3. 使用逻辑门芯片进行电路设计和布线。

4. 连接电路连接线,确保电路的正常工作。

5. 使用示波器验证电路的正确性。

6. 总结实验过程中的问题和解决方法。

四、实验结果经过设计和调试,成功实现了一个4位全加器电路。

通过输入不同的二进制数值,成功实现了两个四位数的相加运算,并正确输出结果。

实验结果表明,逻辑门的正确使用和连接方式能够实现复杂的算术运算。

五、实验心得数字逻辑实验是一门非常实用的实践课程。

通过本次实验,我深刻理解了数字逻辑的基本原理和应用方法。

实验中,我了解了逻辑门的分类和功能,并学会了逐级联接逻辑芯片的技巧。

同时,实验还培养了我解决问题的能力和动手操作的实践技能。

在实验过程中,我遇到了一些问题,如逻辑门连接不正确、芯片损坏等。

但通过仔细检查和重新设计,最终找到了解决问题的方法。

这使得我更加珍惜实验中出现的错误和挑战,因为它们实际上是对我们思维和创造力的锻炼和考验。

通过本次实验,我还意识到数字逻辑的应用范围非常广泛。

数字逻辑不仅仅应用于电子电路中,还可以用于计算机设计、数字通信、自动控制等领域。

数字逻辑的深入学习对我们今后的专业发展非常重要。

总之,数字逻辑实验是一门非常有意义和实践性的课程。

通过实验,我不仅加深了对数字逻辑的理解,还培养了动手操作和解决问题的能力。

我相信通过持续的实践和学习,我将进一步提高数字逻辑的应用水平,为未来的专业发展打下坚实基础。

六、结语通过本次数字逻辑实验的学习和实践,我对数字逻辑有了更深的了解和认识。

上海大学数字逻辑实验报告

上海大学数字逻辑实验报告
2、原理:
用逻辑代数的公理、定理、规则转换成最小项的方法进行变换,形成与非门的组合。A?b??A?b??Ab
3、实验步骤:
1)写出与非门构成或门的表达式。
2)按照表达式连接74Ls00的引脚。
3)拨动开关和观察二极管的变化,记录数据。
4、实验数据:
5、实验现象:
在或门中,只要一个输入为1,输出值就为1,绿灯亮;当两个输入均为0时,则输出值为0,红灯亮。
6、体会:
通过亲手操作与非门逻辑测试的实验,初步体会到了数字逻辑电路的基本链接和测试方法。从实验中,我感受到了自己的不足,犯了几个错误,比如说忘了连接电源
和接地。通过这次实验,加深了我对与非门的认识,很有收获。
二、用与非门构建或门实验
1、实验目的:
用与或门的逻辑电路构建或门的逻辑电路并且测试其功能。
6、体会
用与非门的逻辑电路构建或门的逻辑电路,其本质上来说就是三个与非门的叠加。在做实验之前,我并没有想到这点,因为这其中包括了A和A的与非以及b和b的与非。这无疑给我开阔了思路,对我以后实现其他的逻辑电路很有帮助。
三、mAxpLus操作初步实验
1、实验目的:
1)熟悉mAxp实验报告1
上海大学计算机学院
《数字逻辑实验》报告1
姓名xxx学号xxx教师xxx
时间xxx地点xxx机位xx
一、与非门74Ls00的逻辑功能测试实验
1、实验目的:
1)测试与非门74Ls00芯片的逻辑功能;
2)了解测试的方法与原理;
3)根据测试结果完成74Ls00的真值表。
2、原理:
实现基本逻辑运算和常用逻辑(:上海大学数字逻辑实验报告)运算的单元电路通称为逻辑门电路。实现“与非”运算的电子电路称为与非门。

数字逻辑电路实验报告

数字逻辑电路实验报告

数字逻辑电路实验报告数字逻辑电路实验报告引言:数字逻辑电路是现代电子科技中的重要组成部分,它广泛应用于计算机、通信、控制系统等领域。

本实验旨在通过实际操作,加深对数字逻辑电路原理的理解,并通过实验结果验证其正确性和可靠性。

实验一:基本逻辑门的实验在本实验中,我们首先学习了数字逻辑电路的基本组成部分——逻辑门。

逻辑门是数字电路的基本构建单元,它能够根据输入信号的逻辑关系,产生相应的输出信号。

我们通过实验验证了与门、或门、非门、异或门的工作原理和真值表。

以与门为例,当且仅当所有输入信号都为高电平时,与门的输出信号才为高电平。

实验中,我们通过连接开关和LED灯,观察了与门的输出变化。

实验结果与预期相符,验证了与门的正确性。

实验二:多位加法器的设计与实验在本实验中,我们学习了多位加法器的设计和实现。

多位加法器是一种能够对多位二进制数进行加法运算的数字逻辑电路。

我们通过实验设计了一个4位全加器,它能够对两个4位二进制数进行相加,并给出正确的进位和和结果。

实验中,我们使用逻辑门和触发器等元件,按照电路图进行布线和连接。

通过输入不同的二进制数,观察了加法器的输出结果。

实验结果表明,多位加法器能够正确地进行二进制数相加,验证了其可靠性。

实验三:时序电路的实验在本实验中,我们学习了时序电路的设计和实验。

时序电路是一种能够根据输入信号的时间顺序产生相应输出信号的数字逻辑电路。

我们通过实验设计了一个简单的时序电路,它能够产生一个周期性的脉冲信号。

实验中,我们使用计数器和触发器等元件,按照电路图进行布线和连接。

通过改变计数器的计数值,观察了脉冲信号的频率和周期。

实验结果表明,时序电路能够按照设计要求产生周期性的脉冲信号,验证了其正确性。

实验四:存储器的设计与实验在本实验中,我们学习了存储器的设计和实现。

存储器是一种能够存储和读取数据的数字逻辑电路,它在计算机系统中起到重要的作用。

我们通过实验设计了一个简单的存储器,它能够存储和读取一个4位二进制数。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言数字逻辑是计算机科学中的重要基础知识,通过对数字信号的处理和转换,实现了计算机的高效运算和各种复杂功能。

本实验旨在通过实际操作,加深对数字逻辑电路的理解和应用。

实验一:二进制加法器设计与实现在这个实验中,我们需要设计一个二进制加法器,实现两个二进制数的加法运算。

通过对二进制数的逐位相加,我们可以得到正确的结果。

首先,我们需要将两个二进制数输入到加法器中,然后通过逻辑门的组合,实现逐位相加的操作。

最后,将得到的结果输出。

实验二:数字比较器的应用在这个实验中,我们将学习数字比较器的应用。

数字比较器可以比较两个数字的大小,并输出比较结果。

通过使用数字比较器,我们可以实现各种判断和选择的功能。

比如,在一个电子秤中,通过将待测物品的重量与设定的标准重量进行比较,可以判断物品是否符合要求。

实验三:多路选择器的设计与实现在这个实验中,我们需要设计一个多路选择器,实现多个输入信号中的一路信号的选择输出。

通过使用多路选择器,我们可以实现多种条件下的信号选择,从而实现复杂的逻辑控制。

比如,在一个多功能遥控器中,通过选择不同的按钮,可以控制不同的家电设备。

实验四:时序电路的设计与实现在这个实验中,我们将学习时序电路的设计与实现。

时序电路是数字逻辑电路中的一种重要类型,通过控制时钟信号的输入和输出,实现对数据的存储和处理。

比如,在计数器中,通过时序电路的设计,可以实现对数字的逐位计数和显示。

实验五:状态机的设计与实现在这个实验中,我们将学习状态机的设计与实现。

状态机是一种特殊的时序电路,通过对输入信号和当前状态的判断,实现对输出信号和下一个状态的控制。

状态机广泛应用于各种自动控制系统中,比如电梯控制系统、交通信号灯控制系统等。

实验六:逻辑门电路的优化与设计在这个实验中,我们将学习逻辑门电路的优化与设计。

通过对逻辑门电路的布局和连接方式进行优化,可以减少电路的复杂性和功耗,提高电路的性能和可靠性。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言:数字逻辑是计算机科学中的基础知识,它研究的是数字信号的处理与传输。

在现代科技发展的背景下,数字逻辑的应用越来越广泛,涉及到计算机硬件、通信、电子设备等众多领域。

本实验旨在通过设计和实现数字逻辑电路,加深对数字逻辑的理解,并掌握数字逻辑实验的基本方法和技巧。

实验一:逻辑门电路设计与实现逻辑门是数字电路的基本组成单元,由与门、或门、非门等构成。

在本实验中,我们设计了一个4位全加器电路。

通过逻辑门的组合,实现了对两个4位二进制数的加法运算。

实验过程中,我们了解到逻辑门的工作原理,掌握了逻辑门的真值表和逻辑方程的编写方法。

实验二:多路选择器的设计与实现多路选择器是一种常用的数字逻辑电路,它可以根据控制信号的不同,从多个输入信号中选择一个输出信号。

在本实验中,我们设计了一个4位2选1多路选择器电路。

通过对多路选择器的输入信号和控制信号的设置,实现了对不同输入信号的选择。

实验过程中,我们了解到多路选择器的工作原理,学会了多路选择器的真值表和逻辑方程的编写方法。

实验三:时序逻辑电路的设计与实现时序逻辑电路是一种能够存储和处理时序信息的数字逻辑电路。

在本实验中,我们设计了一个简单的时序逻辑电路——D触发器。

通过对D触发器的输入信号和时钟信号的设置,实现了对输入信号的存储和传输。

实验过程中,我们了解到D触发器的工作原理,掌握了D触发器的真值表和逻辑方程的编写方法。

实验四:计数器电路的设计与实现计数器是一种能够实现计数功能的数字逻辑电路。

在本实验中,我们设计了一个4位二进制计数器电路。

通过对计数器的时钟信号和复位信号的设置,实现了对计数器的控制。

实验过程中,我们了解到计数器的工作原理,学会了计数器的真值表和逻辑方程的编写方法。

结论:通过本次实验,我们深入了解了数字逻辑的基本原理和应用方法。

通过设计和实现逻辑门电路、多路选择器、时序逻辑电路和计数器电路,我们掌握了数字逻辑实验的基本技巧,并加深了对数字逻辑的理解。

数字逻辑实验报告 【个人完成版】

数字逻辑实验报告 【个人完成版】
全加器的逻辑函数表达式
全加器真值表
0
0
0
0
0
0
0
1
1
0
0
1
0
1
0
0
1
1
0
1
1
0
0
1
0
1
0
1
0
1
1
1
0
0
1
1
1
1
1
1
用与非门和异或门实现全加器的电路图:
Bi
Ci-1
Ai
【实验数据记录及结果分析】
1)经测试,发现所有发光二极管发光时都是高电平,低电平时不发光。
2)对于本实验步骤2:
当X或Y等于1时,发光二极管与脉冲同步闪烁;
0
0
0
1
显然:
对于M
A0B0\A1B1
00
01
11
10
00
0
1
1
1
01
0
0
1
1
11
0
0
0
0
10
0
0
1
0
所以
思考:如果在此基础上增加一个主裁判,构成四人判决电路,应该如何设计?即,只有当三个副裁判中多数赞成且主裁判也赞成时有效。做出其真值表并设计组合电路。
设计:
在原有的三位表决器基础上增加一个输入,与原表决器的输出做与运算,即可实现四人判决功能。
实验地点:A2-402实验时间:2013.6.22/28
实验室名称:国家级计算机实验示范中心
实验
及组合逻辑电路实验
【实验名称】基本门电路的功能和特性及组合逻辑电路实验
【实验学时】4学时

数字逻辑实验报告至诚

数字逻辑实验报告至诚

一、实验名称数字逻辑实验二、实验目的1. 理解和掌握数字逻辑的基本概念和基本电路。

2. 学会使用逻辑门进行逻辑运算。

3. 掌握组合逻辑电路的设计方法。

4. 通过实验加深对数字逻辑理论知识的理解。

三、实验原理数字逻辑是研究数字信号及其处理的理论,主要内容包括逻辑门、组合逻辑电路、时序逻辑电路等。

本实验主要围绕组合逻辑电路展开,通过实验加深对组合逻辑电路的理解。

四、实验仪器及材料1. 数字逻辑实验箱2. 逻辑门芯片(如74LS00、74LS04等)3. 逻辑开关4. 逻辑灯5. 逻辑测试笔6. 连接线7. 实验指导书五、实验内容及步骤1. 组合逻辑电路的设计与验证(1)设计一个简单的组合逻辑电路,如异或门、与门、或门等。

(2)根据设计要求,选择合适的逻辑门芯片。

(3)将逻辑门芯片插入实验箱,连接输入端和输出端。

(4)使用逻辑开关设置输入信号,观察逻辑灯的输出情况,验证电路的正确性。

2. 译码器和数据选择器的设计与验证(1)设计一个译码器,将输入的二进制信号转换为输出信号。

(2)设计一个数据选择器,根据输入信号选择相应的输出信号。

(3)根据设计要求,选择合适的译码器和数据选择器芯片。

(4)将芯片插入实验箱,连接输入端和输出端。

(5)使用逻辑开关设置输入信号,观察逻辑灯的输出情况,验证电路的正确性。

3. 组合逻辑电路的应用(1)设计一个交通灯控制器,控制红、黄、绿三个信号灯的亮灭。

(2)设计一个密码锁,输入正确的密码后,输出信号使门锁打开。

(3)根据设计要求,选择合适的逻辑门芯片。

(4)将芯片插入实验箱,连接输入端和输出端。

(5)使用逻辑开关设置输入信号,观察逻辑灯的输出情况,验证电路的正确性。

六、实验结果与分析1. 组合逻辑电路的设计与验证通过实验,成功设计并验证了异或门、与门、或门等基本组合逻辑电路。

在实验过程中,了解了逻辑门的工作原理,掌握了组合逻辑电路的设计方法。

2. 译码器和数据选择器的设计与验证成功设计并验证了译码器和数据选择器电路。

数字逻辑综合实验报告

数字逻辑综合实验报告

一、实验目的本次实验旨在通过实际操作,加深对数字逻辑基本原理和设计方法的理解,提高学生在数字电路设计、仿真和调试方面的实践能力。

通过完成以下实验任务,使学生掌握以下技能:1. 理解数字逻辑电路的基本概念和原理。

2. 掌握数字逻辑电路的设计方法和步骤。

3. 学会使用仿真软件进行电路设计和仿真测试。

4. 掌握数字逻辑电路的调试和优化方法。

二、实验内容本次实验主要包含以下三个部分:1. 组合逻辑电路设计:设计一个四位加法器,并使用Logisim软件进行仿真测试。

2. 时序逻辑电路设计:设计一个简单的计数器,并使用Verilog语言进行描述和仿真。

3. 数字逻辑电路综合应用:设计一个简单的数字信号处理器,实现基本的算术运算。

三、实验步骤1. 组合逻辑电路设计(1)分析题目要求,确定设计目标和输入输出关系。

(2)根据输入输出关系,设计四位加法器的逻辑电路。

(3)使用Logisim软件搭建电路,并设置输入信号。

(4)观察仿真结果,验证电路功能是否正确。

2. 时序逻辑电路设计(1)分析题目要求,确定设计目标和状态转移图。

(2)使用Verilog语言描述计数器电路,包括模块定义、输入输出定义、状态定义和状态转移逻辑。

(3)使用仿真软件进行测试,观察电路在不同状态下的输出波形。

3. 数字逻辑电路综合应用(1)分析题目要求,确定设计目标和功能模块。

(2)设计数字信号处理器电路,包括算术运算单元、控制单元和存储单元等。

(3)使用仿真软件进行测试,验证电路能否实现基本算术运算。

四、实验结果与分析1. 组合逻辑电路设计实验结果:通过仿真测试,四位加法器电路功能正常,能够实现两个四位二进制数的加法运算。

分析:在设计过程中,遵循了组合逻辑电路设计的基本原则,确保了电路的正确性。

2. 时序逻辑电路设计实验结果:通过仿真测试,计数器电路功能正常,能够实现从0到9的计数功能。

分析:在设计过程中,正确描述了状态转移图,并使用Verilog语言实现了电路的功能。

数字电路逻辑实验报告

数字电路逻辑实验报告

数字电路逻辑实验报告数字电路逻辑实验报告引言:数字电路逻辑实验是电子工程专业学生必修的一门实践课程,通过该实验可以加深对数字电路基本原理和逻辑设计的理解。

本文将对我所进行的数字电路逻辑实验进行详细报告,包括实验目的、实验原理、实验步骤、实验结果以及实验总结等内容。

实验目的:本次实验的主要目的是通过设计和实现一些基本的数字逻辑电路,如门电路、触发器电路等,加深对数字电路原理的理解。

同时,通过实验操作,掌握数字电路的搭建过程、信号的传输规律以及故障排除等技能。

实验原理:数字电路是由逻辑门组成的,逻辑门是根据布尔代数的运算规则实现逻辑运算的基本元件。

常见的逻辑门有与门、或门、非门等。

在实验中,我们将通过搭建逻辑门电路,实现不同的逻辑运算。

实验步骤:1. 实验前准备:检查实验设备的连接是否正确,确保电源和接地正常。

2. 搭建与门电路:根据逻辑与门的真值表,按照电路图连接与门电路。

3. 搭建或门电路:根据逻辑或门的真值表,按照电路图连接或门电路。

4. 搭建非门电路:根据逻辑非门的真值表,按照电路图连接非门电路。

5. 搭建触发器电路:根据触发器的真值表,按照电路图连接触发器电路。

6. 进行实验测试:将不同的输入信号输入电路,观察输出信号的变化。

实验结果:经过实验测试,我们得到了以下结果:1. 与门电路:当输入信号A和B同时为高电平时,输出信号为高电平;否则输出信号为低电平。

2. 或门电路:当输入信号A和B中至少有一个为高电平时,输出信号为高电平;否则输出信号为低电平。

3. 非门电路:当输入信号为高电平时,输出信号为低电平;当输入信号为低电平时,输出信号为高电平。

4. 触发器电路:触发器电路可以实现存储功能,当输入信号满足特定条件时,输出信号的状态会发生改变。

实验总结:通过本次实验,我对数字电路逻辑的原理和设计有了更深入的理解。

通过搭建不同的逻辑门电路和触发器电路,我熟悉了数字电路的搭建过程,并掌握了信号的传输规律。

数字逻辑实验报告

数字逻辑实验报告

实验一 TTL门电路的逻辑功能测试一、实验目的1、掌握TTL器件的使用规则。

2、掌握TTL集成与非门的逻辑功能。

3、掌握TTL集成与非门的测试方法。

二、实验原理TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic )简称TTL电路。

54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。

所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。

74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55—±1250C,电源电压工作范围为5V±10%V。

54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。

在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。

TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。

因此,本实训教材大多采用74LS(或74)系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。

它们的逻辑表达式分别为:图1.2.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。

图 TTL 基本逻辑门电路与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。

三、实验设备与器件1、仪器数字逻辑实验箱2、器件74LS00 二输入端四与非门四、实验内容及实验步骤(包括数据记录)1、测试74LS00(四2输入端与非门)逻辑功能将74LS00正确接入DIP插座,注意识别1脚位置(集成块正面放置且缺口向左,则左下角为1脚),输入端接逻辑电平输出插口,输出端接逻辑电平显示,拨动逻辑电平开关,根据LED发光二极管亮与灭,检测非门的逻辑功能,结果填入下表中。

数字逻辑实验报告

数字逻辑实验报告

一、实验目的1. 理解数字逻辑的基本概念和原理。

2. 掌握逻辑门电路的基本功能和应用。

3. 学会使用逻辑门电路设计简单的组合逻辑电路。

4. 培养实际动手能力和分析问题、解决问题的能力。

二、实验原理数字逻辑是研究数字电路的基本原理和设计方法的一门学科。

数字电路是由逻辑门电路组成的,逻辑门电路是实现逻辑运算的基本单元。

常见的逻辑门电路有与门、或门、非门、异或门等。

组合逻辑电路是由逻辑门电路组成的,其输出仅与当前的输入有关,而与电路的历史状态无关。

组合逻辑电路的设计方法主要有真值表法、逻辑函数法、卡诺图法等。

三、实验仪器与设备1. 数字逻辑实验箱2. 移动电源3. 连接线4. 逻辑门电路模块5. 计算器四、实验内容1. 逻辑门电路测试(1)测试与门、或门、非门、异或门的功能。

(2)测试逻辑门电路的输出波形。

2. 组合逻辑电路设计(1)设计一个4位二进制加法器。

(2)设计一个4位二进制减法器。

(3)设计一个4位二进制乘法器。

(4)设计一个4位二进制除法器。

五、实验步骤1. 逻辑门电路测试(1)将实验箱上相应的逻辑门电路模块插入实验板。

(2)根据实验要求,连接输入端和输出端。

(3)打开移动电源,将输入端接入逻辑信号发生器。

(4)观察输出波形,记录实验结果。

2. 组合逻辑电路设计(1)根据实验要求,设计组合逻辑电路的原理图。

(2)根据原理图,将逻辑门电路模块插入实验板。

(3)连接输入端和输出端。

(4)打开移动电源,将输入端接入逻辑信号发生器。

(5)观察输出波形,记录实验结果。

六、实验结果与分析1. 逻辑门电路测试实验结果如下:(1)与门:当两个输入端都为高电平时,输出为高电平。

(2)或门:当两个输入端至少有一个为高电平时,输出为高电平。

(3)非门:输入端为高电平时,输出为低电平;输入端为低电平时,输出为高电平。

(4)异或门:当两个输入端不同时,输出为高电平。

2. 组合逻辑电路设计实验结果如下:(1)4位二进制加法器:能够实现两个4位二进制数的加法运算。

数字逻辑电路实验报告

数字逻辑电路实验报告

一、实验目的1. 熟悉数字逻辑电路的基本原理和基本分析方法。

2. 掌握常用逻辑门电路的原理、功能及实现方法。

3. 学会使用数字逻辑电路实验箱进行实验操作,提高动手能力。

二、实验原理数字逻辑电路是现代电子技术的基础,它由逻辑门电路、触发器、计数器等基本单元组成。

本实验主要涉及以下内容:1. 逻辑门电路:与门、或门、非门、异或门等。

2. 组合逻辑电路:半加器、全加器、译码器、编码器等。

3. 时序逻辑电路:触发器、计数器、寄存器等。

三、实验仪器与设备1. 数字逻辑电路实验箱2. 示波器3. 信号发生器4. 万用表5. 逻辑笔四、实验内容及步骤1. 逻辑门电路实验(1)与门、或门、非门、异或门原理实验步骤:1)按实验箱上的逻辑门电路原理图连接电路;2)使用信号发生器产生输入信号,用逻辑笔观察输出信号;3)分析实验结果,验证逻辑门电路的原理。

(2)组合逻辑电路实验步骤:1)按实验箱上的组合逻辑电路原理图连接电路;2)使用信号发生器产生输入信号,用逻辑笔观察输出信号;3)分析实验结果,验证组合逻辑电路的原理。

2. 时序逻辑电路实验(1)触发器实验步骤:1)按实验箱上的触发器原理图连接电路;2)使用信号发生器产生输入信号,用示波器观察输出信号;3)分析实验结果,验证触发器的原理。

(2)计数器实验步骤:1)按实验箱上的计数器原理图连接电路;2)使用信号发生器产生输入信号,用示波器观察输出信号;3)分析实验结果,验证计数器的原理。

五、实验结果与分析1. 逻辑门电路实验实验结果:通过实验,我们验证了与门、或门、非门、异或门的原理,观察到了输入信号与输出信号之间的逻辑关系。

2. 组合逻辑电路实验实验结果:通过实验,我们验证了半加器、全加器、译码器、编码器的原理,观察到了输入信号与输出信号之间的逻辑关系。

3. 时序逻辑电路实验实验结果:通过实验,我们验证了触发器、计数器的原理,观察到了输入信号与输出信号之间的时序关系。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告本次实验旨在通过数字逻辑实验的设计和实现,加深对数字逻辑电路原理的理解,并通过实际操作提高动手能力和解决问题的能力。

在本次实验中,我们将学习数字逻辑实验的基本原理和方法,掌握数字逻辑实验的设计与调试技巧,提高实验操作的熟练程度。

首先,我们进行了数字逻辑实验的准备工作,包括熟悉实验设备和器材的使用方法,了解实验电路的基本原理和设计要求。

在实验过程中,我们按照实验指导书上的要求,逐步完成了数字逻辑实验电路的设计、搭建和调试。

在实验过程中,我们遇到了一些问题,但通过分析问题的原因并进行逐步排除,最终成功完成了实验。

其次,我们进行了数字逻辑实验电路的测试和验证。

通过使用示波器、逻辑分析仪等测试设备,我们对搭建好的数字逻辑电路进行了测试,验证了实验电路的正确性和稳定性。

在测试过程中,我们发现了一些问题,但通过仔细观察和分析,最终找到了解决问题的方法,并取得了满意的测试结果。

最后,我们总结了本次实验的经验和教训。

通过本次实验,我们深刻理解了数字逻辑电路的原理和实现方法,提高了实验操作的技能和水平,增强了动手能力和解决问题的能力。

在今后的学习和工作中,我们将继续努力,不断提高自己的专业能力和实践能力,为将来的发展打下坚实的基础。

通过本次实验,我们对数字逻辑实验有了更深入的了解,对数字逻辑电路的设计和实现有了更加丰富的经验,相信在今后的学习和工作中,我们能够更加熟练地运用数字逻辑知识,为实际工程问题的解决提供有力的支持。

总之,本次实验不仅增强了我们对数字逻辑实验的理解和掌握,也提高了我们的实验操作能力和解决问题的能力。

希望通过今后的学习和实践,我们能够不断提高自己的专业水平,为将来的发展打下坚实的基础。

数字逻辑大实验报告

数字逻辑大实验报告

一、实验背景数字逻辑是计算机科学和电子工程领域的基础学科,研究数字系统的设计和分析。

本次大实验旨在通过实际操作,加深对数字逻辑电路原理的理解,掌握逻辑门电路、组合逻辑电路和时序逻辑电路的设计与实现方法。

二、实验目的1. 理解并掌握数字逻辑电路的基本原理和设计方法。

2. 掌握常用逻辑门电路的功能和应用。

3. 熟悉组合逻辑电路和时序逻辑电路的设计与实现。

4. 提高实验操作能力和问题解决能力。

三、实验内容本次实验共分为三个部分:1. 逻辑门电路实验(1)实验目的:验证常用逻辑门电路的逻辑功能,熟悉各种门电路的逻辑符号。

(2)实验内容:- 测试与非门、或门、与门、异或门、同或门、非门等逻辑门电路的逻辑功能。

- 利用Multisim软件绘制逻辑门电路仿真图,验证逻辑功能。

2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计与实现方法。

(2)实验内容:- 设计并实现一个4位二进制加法器。

- 设计并实现一个4位二进制乘法器。

- 利用Multisim软件对设计结果进行仿真验证。

3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计与实现方法。

(2)实验内容:- 设计并实现一个异步复位计数器。

- 设计并实现一个同步复位计数器。

- 利用Multisim软件对设计结果进行仿真验证。

四、实验步骤1. 熟悉实验设备,了解实验原理。

2. 根据实验要求,设计电路图。

3. 利用Multisim软件绘制电路图,并进行仿真验证。

4. 将设计好的电路图下载到实验板上,进行实际操作。

5. 观察实验结果,分析实验数据。

五、实验结果与分析1. 逻辑门电路实验:实验结果显示,所有逻辑门电路的逻辑功能均符合预期,验证了实验原理的正确性。

2. 组合逻辑电路实验:- 4位二进制加法器实验:实验结果显示,加法器能够正确实现两个4位二进制数的加法运算。

- 4位二进制乘法器实验:实验结果显示,乘法器能够正确实现两个4位二进制数的乘法运算。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告实验介绍数字逻辑是计算机科学不可或缺的基础课程,本次实验我们将学习数字逻辑的基本概念,使用Verilog语言实现逻辑电路,并在数字仿真软件中模拟电路的运行过程。

实验目的•理解数字逻辑电路的基本概念和原理;•掌握Verilog语言的基本语法和编程技巧;•学会使用数字仿真软件模拟数字逻辑电路的运行过程。

实验过程实验一:组合逻辑电路的实现本实验中我们将使用Verilog语言实现一个简单的组合逻辑电路。

组合逻辑电路是由一些基本逻辑门连接而成的电路,这些逻辑门输出状态仅受输入状态影响,不受电路的历史状态影响,因此称为组合逻辑电路。

在本实验中,我们将使用Verilog语言实现一个简单的组合逻辑电路,具体如下:module combinational_logic(input a, b, c, output d, e);assign d = ~(a & b);assign e = ~(c | d);endmodule以上Verilog代码实现了一个简单的组合逻辑电路,在电路中有三个输入端口(a、b、c)和两个输出端口(d、e)。

其中d输出端口为(a & b)的反相值,e输出端口为(c | d)的反相值。

实验二:时序逻辑电路的实现时序逻辑电路是一种与历史状态相关的电路,因此称为时序逻辑电路。

与组合逻辑电路的不同之处,在于时序逻辑电路有一种状态元件,在时钟信号的驱动下更改其状态。

在本实验中,我们将使用Verilog语言实现一个简单的时序逻辑电路,具体如下:module sequential_logic(input clock, reset, input data, output reg q);always @(posedge clock or negedge reset) beginif(!reset) beginq <= 1'b0;endelse beginq <= data;endendendmodule以上Verilog代码实现了一个简单的时序逻辑电路,在电路中有两个输入端口(clock、reset)和一个输出端口(q)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑设计实验之--数字时钟(姓名:网班:1班序号)摘要:本实验完成了数字时钟的设计,数字时钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。

数字时钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。

VHDL是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。

通过应用VHDL对数字时钟的设计,达到对VHDL的理解。

该系统在开发软件Quartus Ⅱ环境中设计完成,本文给出了设计该数字时钟系统的流程和方法。

关键词:数字时钟VDHL Quartus Ⅱ一、实验目的(1)通过设计一个2FSK调制器,初步了解QuartusII采用VHDL编程方式进行设计的流程。

(2)进一步熟悉FPGA开发的流程以及基本的设计方法、基本的仿真分析方法。

二、课题分析在程序方面,采用分块设计的方法,这样既减小了编程难度、使程序易于理解,又能便于添加各项功能。

程序可分为闹钟的声音程序、时间显示程序、日期显示程序,秒表显示程序,时间调整程序、闹钟调整程序、定时调整程序,延时程序等。

运用这种方法,关键在于各模块的兼容和配合,若各模块不匹配会出现意想不到的错误。

首先,在编程之前必须了解硬件结构尤其是各引脚的用法,以及内部寄存器、存储单元的用法,否则,编程无从下手,电路也无法设计。

这是前期准备工作。

第二部分是硬件部分:依据想要的功能分块设计设计,比如输入需要开关电路,输出需要显示驱动电路和数码管电路等。

第三部分是软件部分:先学习理解汇编语言的编程方法再根据设计的硬件电路进行分块的编程调试,最终完成程序设计。

第四部分是软件画图部分:设计好电路后进行画图,包括电路图和仿真图的绘制。

第五部分是软件仿真部分:软硬件设计好后将软件载入芯片中进行仿真,仿真无法完成时检查软件程序和硬件电路并进行修改直到仿真成功。

第六部分是硬件实现部分:连接电路并导入程序检查电路,若与设计的完全一样一般能实现想要的功能。

最后进行功能扩展,在已经正确的设计基础上,添加额外的功能!三、实验内容(1)、数字时钟钟要求:①、显示时、分、秒②、时钟的“时”、“分”、“秒”要求各用两位显示;③、整个系统要有校时部分(可以手动,也可以自动),校时时不能产生进位;(2)设计思想:原理图设计60进制计数器24进制计数器实验VHDL 源码:library ieee;--数字时钟use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity szz isport( Clk : in std_logic; --时钟输入Rst : in std_logic; --复位输入S1,S2 : in std_logic; --时间调节输入led : out std_logic_vector(3 downto 0); --整点输报时输出spk : out std_logic;Display : out std_logic_vector(6 downto 0); --七段码管显示输出SEG_SEL : buffer std_logic_vector(2 downto 0) --七段码管扫描驱动 );end szz;--------------------------------------------------------------------architecture behave of szz issignal Disp_Temp : integer range 0 to 15;signal Disp_Decode : std_logic_vector(6 downto 0);signal SEC1,SEC10 : integer range 0 to 9;signal MIN1,MIN10 : integer range 0 to 9;signal HOUR1,HOUR10 : integer range 0 to 9;signal Clk1kHz : std_logic;--数码管扫描时钟signal Clk1Hz : std_logic;--时钟计时时钟signal led_count : std_logic_vector(2 downto 0);signal led_display : std_logic_vector(3 downto 0);signal spkcout : std_logic;beginPROCESS(clk) --产生1hz 信号 顶层模块 (clock ) 底层模块 (cnt60) 底层模块 (cnt24)variable cnt : INTEGER RANGE 0 TO 49999999; --产生1Hz时钟的分频计数器BEGINIF clk='1' AND clk'event THENIF cnt=49999999 THEN cnt:=0;ELSEIF cnt<25000000 THEN clk1hz<='1';ELSE clk1hz<='0';END IF;cnt:=cnt+1;END IF;END IF;end process;PROCESS(clk) --产生1hz信号variable cnt1 : INTEGER RANGE 0 TO 49999; --产生1KHz时钟的分频计数器BEGINIF clk='1' AND clk'event THENIF cnt1=49999 THEN cnt1:=0;ELSEIF cnt1<25000 THEN clk1khz<='1';ELSE clk1khz<='0';END IF;cnt1:=cnt1+1;END IF;END IF;end process;process(Clk1Hz,Rst)beginif(Rst='0') then --系统复位SEC1<=0;SEC10<=0;MIN1<=0;MIN10<=0;HOUR1<=0;HOUR10<=0;elsif(Clk1Hz'event and Clk1Hz='1') then --正常运行if(S1='0') then --调节小时if(HOUR1=9) thenHOUR1<=0;HOUR10<=HOUR10+1;elsif(HOUR10=2 and HOUR1=3) thenHOUR1<=0;HOUR10<=0;elseHOUR1<=HOUR1+1;end if;elsif(S2='0') then --调节分钟if(MIN1=9) thenMIN1<=0;if(MIN10=5) thenMIN10<=0;elseMIN10<=MIN10+1;end if;elseMIN1<=MIN1+1;end if;elsif(SEC1=9) thenSEC1<=0;if(SEC10=5) thenSEC10<=0;if(MIN1=9) thenMIN1<=0;if(MIN10=5) thenMIN10<=0;if(HOUR1=9) thenHOUR1<=0;HOUR10<=HOUR10+1;elsif(HOUR10=2 and HOUR1=3) thenHOUR1<=0;HOUR10<=0;elseHOUR1<=HOUR1+1;end if;elseMIN10<=MIN10+1;end if;elseMIN1<=MIN1+1;end if;elseSEC10<=SEC10+1;end if;elseSEC1<=SEC1+1;end if;end if;end process;process(Clk)--整点报时beginif(Clk1hz'event and Clk1hz='1') thenif(MIN10=5 and MIN1=9 and SEC10=5 and sec1>3) then --在59分55秒开始提示led_Count<=led_Count+1; spkcout<=not spkcout;elseled_count<="000";spkcout<='0';end if;spk<=spkcout;end if;end process;process(led_count)--整点报时LED灯的闪烁begincase (led_count) iswhen "000"=>led_display<="0000";when "001"=>led_display<="1111";when "010"=>led_display<="0111";when "011"=>led_display<="0011";when "100"=>led_display<="0001";when "101"=>led_display<="1111";when others=>led_display<="0000";end case;led<=led_display;end process;process(SEG_SEL)begincase (SEG_SEL+1) iswhen "111"=>Disp_Temp<=HOUR10;when "110"=>Disp_Temp<=HOUR1;when "101"=>Disp_Temp<=10;when "100"=>Disp_Temp<=MIN10;when "011"=>Disp_Temp<=MIN1;when "010"=>Disp_Temp<=10;when "001"=>Disp_Temp<=SEC10;when "000"=>Disp_Temp<=SEC1;end case;end process;process(Clk1khz)beginif(Clk1khz'event and Clk1khz='1') then --扫描累加SEG_SEL<=SEG_SEL+1;Display<=Disp_Decode;end if;end process;process(Disp_Temp) --显示转换begincase Disp_Temp iswhen 0=>Disp_Decode<="1111110"; --0when 1=>Disp_Decode<="0110000"; --1when 2=>Disp_Decode<="1110101"; --2when 3=>Disp_Decode<="1111001"; --3when 4=>Disp_Decode<="0110011"; --4when 5=>Disp_Decode<="1011011"; --5when 6=>Disp_Decode<="1011111"; --6when 7=>Disp_Decode<="1111000"; --7when 8=>Disp_Decode<="1111111"; --8when 9=>Disp_Decode<="1111011"; --9when 10=>Disp_Decode<="0000001"; ---when others=>Disp_Decode<="0000000"; --全灭end case;end process;end behave;四、实验小结:注意当时钟处于被修改状态时,即对时、分、秒的值进行修改时,不应产生进位,产生很多莫名其妙的错误,如修改后有进位(分钟为00)时,再次给脉冲会进位的情况。

相关文档
最新文档