出租车自动计费器EDA设计

合集下载

出租车自动计费器EDA设计

出租车自动计费器EDA设计

6.7出租车自动计费器设计6.7.1 设计要求设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示金额,最大值为999.9元,最小计价单元为0.1元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。

用两位数码管显示总里程。

最大为99公里,用两位数码管显示等待时间,最大值为59。

6.7.2原理描述根据层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图6-63所示,各模块功能如下:图6-63出租车自动计费器系统框图1分频模块分频模块对频率为240的输入脉冲进行分频,得到的频率为16,10和1的三种频率。

该模块产生频率信号用于计费,每个1脉冲为0.1元计费控制,10信号为1元的计费控制,16信号为1.6元计费控制。

2 计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分种的等待计时使能控制信号1, 行程 3公里外的使能控制信号0。

其中计价功能主要完成的任务是:行程 3公里内,且等待累计时间3分钟内,起步费为8元;3公里外以每公里1.6元计费,等待累计时间3分钟外以每分钟1元计费;计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零;计程功能主要完成的任务是:计算乘客所行驶的公里数。

计程器的量程为99公里,满量程自动归零。

3 译码显示模块该模块经过8选1选择器将计费数据(4位码)、计时数据(2位码)、计程数据(2位码)动态选择输出。

其中计费数据4~ 1~送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为999.9元;计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示,最大显示为59秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为99公里。

EDA出租车自动计费器

EDA出租车自动计费器

EDA课程设计报告题目:出租车自动计费器院系:信工系班级:电信二班学号:111608060211姓名:陈森摘要 (3)引言 (4)第1章整体设计说明 (5)1、设计要求 (5)2、设计内容及思路 (5)第2章各模块的介绍 (6)1、电平转换模块 (6)2、等待时间显示模块 (7)3、行驶里程显示模块 (8)4、计费显示模块 (9)5、调用的计数器模块 (10)6、顶层模块 (10)第3章管脚锁定及硬件连线 (12)第4章程序下载与调试 (14)设计心得体会 (15)Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种用文本形式来描述数字系统硬件的结构和行为的Verilog语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。

Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。

现在,随着系统级FPGA以及片上系统的出现,软硬件协同设计和系统设计变得越来越重要。

传统意义上的硬件设计越来越倾向于与系统设计和软件设计相结合。

本文介绍了一种采用单片FPGA芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用FPGA的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费器体积更小功能更强大。

本设计实现了出租车计费器所需的一些基本功能,计费包括起步价、行车里程计费、等待时间计费,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中。

主要包括采用了FPGA芯片,使用VHDL语言进行编程,使其具有了更强的移植性,更加利于产品升级。

人类社会已经进入信息化时代,信息社会的发展离不开电子产品的进步。

现代电子产品在性能提高、复杂度降低的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

EDA简易出租车计价器设计

EDA简易出租车计价器设计

程后自动归零。
(4) 译码显示模块
Page 4
该模块经过8选1选择器将计费数据(4位BCD码)、
计时数据(2位BCD码)、计程数据(2位BCD码)动态
显示输出。其中计费数据jifei4~jifei1送入显示译码模块进
行译码,最后送至以百元、十元、元、角为单位对应的数
码管上显示,最大显示为999.9元;计时数据送入显示译
码模块进行译码,最后送至以分为单位对应的数码管上显
示,最大显示为59分;计程数据送入显示译码模块进行译
码,最后送至以公里为单位的数码管上显示,最大显示为
99公里。其系统组成框图如图2.1所示。
Page 5
时钟信 分频器 计费


等待信


计时
公里脉




计费/
计程
复位
Page 6
3 出租车计费器的层次化设计方案
(1) 分频模块 分频模块对频率为240Hz的输入脉冲进行分频,产生频率为16Hz、 15Hz、1Hz的3种频率。该模块产生频率信号用于计费,每个1Hz脉 冲为0.1元计费控制,15Hz为1.5元的计费控制,16Hz信号1.6元计费 控制。 (2) 控制模块 计价器控制模块主要完成对计价器状态的控制。
Page 3
(3) 计量模块
计量模块完成计价、计时和计程功能。
计价部分:行程在3公里内,而且等待累计时间小于2分钟,起步费为
10元;3公里外以每公里按1.6元计费,等待累计时间超过2分钟按每分钟1.5
元计费。
计时部分:计算乘客的等待累计时间。计时器的量程为59分钟,满量
程后自动归零。
计程部分:计算乘客所行驶的公里数。计程器的量程为99千米, 满量

eda课程设计出租车计费器

eda课程设计出租车计费器

eda课程设计出租车计费器计程车计费器近年来,随着出行需求的增加,计程车成为现代都市生活中不可或缺的交通工具。

然而,随之而来的问题是如何准确计算乘客的乘车费用,以避免价格争议和不公平的情况发生。

因此,设计一个高效准确的出租车计费器成为了必备的需求。

本文将介绍一个EDA课程设计的出租车计费器,并详细阐述其功能和设计理念。

首先,出租车计费器需要具备自动计算乘车时间和里程的功能。

乘客上车后,计费起始点记录下当前的时间和里程数,当乘客下车时,计费器会根据乘车时间和里程数自动计算出乘车费用。

这样的设计方案使得计费过程更加便捷,无需司机或乘客自行计算费用,提升了计费的准确性和公正性。

其次,出租车计费器还需要考虑不同的计费规则和策略。

在不同地区和国家,计费规则可能会有所不同。

例如,在某些地方,计费可能以里程为主,而在另一些地方,计费可能以时间为主。

因此,计费器需要能够灵活调整计费策略,以适应不同的地区和客户需求。

除了基本的计费功能,出租车计费器还可以增加一些附加功能,以提升用户体验。

例如,可以添加语音导航功能,为乘客提供实时导航路线,让乘客更加便捷地到达目的地。

同时,也可以添加多种支付方式,如现金、刷卡、移动支付等,以满足不同乘客的支付需求。

这些附加功能的添加可以为乘客提供更加便利的乘车体验,提升出租车的竞争力。

此外,出租车计费器还需要注重安全性和可靠性。

计费器需要具备防伪造和防篡改功能,以防止不法分子通过篡改计费器数据来进行欺诈行为。

同时,计费器需要保证数据的准确性和可靠性,以便相关部门对出租车运营情况进行监管和统计。

这些安全性和可靠性的保障是出租车计费器设计的重要组成部分。

总之,EDA课程设计的出租车计费器是一个非常重要的工具,它能够准确计算乘车费用,提升用户体验,保证计费的公正性和准确性。

设计一个高效准确的出租车计费器是一个复杂的过程,需要考虑到不同地区和国家的计费规则,同时也需要注重安全性和可靠性。

希望通过持续的研发和创新,能够设计出更加先进的出租车计费器,为乘客提供更好的出行体验。

基于eda层次化设计方法的出租车计费器设计

基于eda层次化设计方法的出租车计费器设计

出租车计费器设计是作为现代城市交通系统的一部分,其设计与实现对于提高城市交通效率、服务质量和用户体验至关重要。

在设计出租车计费器时,基于EDA(层次化设计方法)能够提供一个系统性的设计思路,从而确保设计的高质量、灵活性和可扩展性。

下面我将从简入深,逐步探讨基于EDA层次化设计方法的出租车计费器设计。

1. 初探EDA层次化设计方法让我们简单了解一下EDA层次化设计方法。

EDA是指电子设计自动化,是一种通过计算机进行电子系统设计的方法。

而层次化设计方法则是将系统划分为层次结构,在每个层次上进行设计和实现。

在出租车计费器设计中,可以将系统按照功能划分为不同的层次,如硬件层、软件层和用户界面层,以此来实现系统的模块化设计和管理。

2. 基于EDA层次化设计的出租车计费器设计在出租车计费器设计中,我们可以根据EDA层次化设计方法进行如下设计:2.1 硬件层设计在硬件层设计中,需要考虑计费器的硬件评台选择、计费器与出租车电子系统的接口设计、硬件模块的功能划分等。

通过模块化设计和EDA的思路,可以设计出高性能、可靠的硬件评台,同时实现计费器与出租车系统的无缝接口。

2.2 软件层设计在软件层设计中,需要考虑计费器的算法设计、数据处理、通信协议、故障处理等方面。

采用EDA的思想,可以将系统按照功能模块进行划分,实现模块间的独立性和可复用性,同时确保整个系统的稳定性和高效性。

2.3 用户界面层设计用户界面层设计是出租车计费器设计中至关重要的一环,它直接关系到用户的体验和操作便利。

基于EDA的设计方法,可以将用户界面的设计与硬件和软件功能进行隔离,从而实现用户界面的定制化和灵活性。

3. 总结与回顾通过采用基于EDA层次化设计方法的出租车计费器设计,我们可以实现系统功能模块化、灵活性和可扩展性,并且可以更加方便地进行系统的管理和维护。

在设计过程中,我们需要充分考虑不同层次之间的关联性和接口设计,从而确保整个系统的完整性和稳定性。

EDA出租车计费器设计

EDA出租车计费器设计

1.出租车计费器的总体设计1.1 出租车计费设计该出租车计费器按下开关S1后开始计费和计里程数,分为白天和夜晚。

当拨动开关K2为0时,系统使用程序设置的起步价,白天起步价是3元,准行2公里,以后2元/公里;夜晚起步价是9元,准行2公里,以后3元/公里。

当拨动开关K2为1时,可由矩阵键盘输入起步价0~9元。

当路程大于200公里时,价格会在原来的基础上加50元。

1.2 基本设计思想时钟输入为一个1KHz的系统时钟,直流电机模块每转一圈输出一个脉冲信号给CPU,另外用按键模块的S1 来作为整个系统的复位按钮,每复位一次,计费器从头开始计费。

直流电机模块用来模拟出租车的车轮子,每转动一圈认为是行走1 米,所以每旋转1000 圈,认为车子前进1 公里。

系统设计是需要检测电机的转动情况,每转1000圈,分频模块输出一个下降沿信号。

车费和里程数在一个8位7段数码管上显示,前4位显示里程,后4位显示费用。

路程的单价由16*16点阵显示,拨动开关K1为0时,2元/公里,点阵显示2;拨动开关K2为1时,3元/公里,点阵显示3。

2.出租车计费器的总体实现2.1 系统总体框图该出租车计费器由一个VHDL程序构成,不同功能的实现分别是多个进程控制,主要进程有:分频模块进程:将每1000个电机脉冲转化为1个输出脉冲;计程模块进程:记录已行里程;计费模块进程:计算应付车费;4*4矩阵键盘进程:设置起步价;数码管显示进程:将已行里程和应付车费显示在数码管上; 16*16点阵显示进程:显示当前状态的每公里单价。

图2-1 系统框图2.2 程序流程图(2)计程模块图2-3 计程模块(3) 计费模块图2-4 计费模块2.3 出租车计费器各功能的实现(1)分频模块:直流电机motor每输出1000个脉冲,分频器glclk输出一个下降沿脉冲,表示电机已走1公里,meter1加1.if rst='0' thencount<=0;temp<='0';elsif (motor'event and motor='1') thenif count>499 thencount<=0;temp<=not temp;elsecount<=count+1;end if;end if;glclk<=temp;(2)计程模块:glclk的下降沿来临时,路程的个位加1,满9往高位进一,同时低位归0。

出租车自动计费器EDA设计

出租车自动计费器EDA设计

出租车自动计费器E D A设计(总9页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--出租车自动计费器EDA设计设计要求设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示金额,最大值为元,最小计价单元为元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里元计费,等待时间单价为每分钟1元。

用两位数码管显示总里程。

最大为99公里,用两位数码管显示等待时间,最大值为59min。

原理描述根据层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图6-63所示,各模块功能如下:图6-63出租车自动计费器系统框图1分频模块分频模块对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,10Hz 和1Hz的三种频率。

该模块产生频率信号用于计费,每个1HZ脉冲为元计费控制,10HZ信号为1元的计费控制,16Hz信号为元计费控制。

2 计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分种的等待计时使能控制信号en1, 行程 3公里外的使能控制信号en0。

其中计价功能主要完成的任务是:行程 3公里内,且等待累计时间3分钟内,起步费为8元;3公里外以每公里元计费,等待累计时间3分钟外以每分钟1元计费;计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零;计程功能主要完成的任务是:计算乘客所行驶的公里数。

计程器的量程为99公里,满量程自动归零。

3 译码显示模块该模块经过8选1选择器将计费数据(4位BCD码)、计时数据(2位BCD码)、计程数据(2位BCD码)动态选择输出。

其中计费数据jifei4~ jifei1~送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为元;计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示,最大显示为59秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为99公里。

eda课程设计出租车计费器

eda课程设计出租车计费器

eda课程设计出租车计费器一、引言随着城市化进程的加速,出租车已成为人们出行的重要交通工具之一。

出租车计费器作为出租车运营的核心设备,其设计的合理性和精确性直接关系到乘客和司机的利益。

因此,设计一款高效、准确的出租车计费器具有重要的实际意义。

本次EDA课程设计,我们以出租车计费器为研究对象,对其进行模拟设计。

二、计费器功能需求分析出租车计费器应具备以下基本功能:1.起步价计费:按照规定的起步价格进行计费。

2.里程计费:根据行驶里程计算费用,一般按每公里单价进行累加。

3.等待时间计费:在等待或红绿灯等情况下,应按设定的单价计算费用。

4.计费显示:将乘客应支付的总费用实时显示在计费器上。

5.声音提示:在计费过程中,应有声音提示,如“谢谢”等。

6.夜间服务费:在夜间或特殊时间段,可设定额外服务费用。

7.故障保护:当计费器出现故障时,应能够自动进入保护模式,停止计费。

三、计费器系统设计基于上述功能需求,我们设计了以下出租车计费器系统:1.主控模块:采用微控制器作为核心控制单元,负责接收传感器信号、计算费用、控制显示和声音输出等功能。

2.里程传感器:用于检测出租车的行驶里程,一般通过轮速传感器实现。

里程数据被传送到主控模块进行计算。

3.时间传感器:用于检测出租车的运行时间,可选用霍尔传感器等实现。

时间数据也需传送到主控模块进行处理。

4.显示模块:选用液晶显示屏(LCD),用于显示总费用、行驶里程、时间等信息。

通过主控模块驱动LCD显示。

5.声音提示模块:选用蜂鸣器作为声音输出设备,由主控模块控制发出提示音。

6.夜间服务费模块:通过软件编程实现夜间服务费的设定和计算。

主控模块根据时间传感器信号判断是否进入夜间模式。

7.故障保护模块:在系统检测到故障时,如计费器出现异常过热或长时间无里程/时间信号,主控模块将自动进入保护模式,停止计费并发出报警信号。

四、计费算法设计根据上述功能需求和系统设计,我们采用以下计费算法:1.起步价费用 = 起步价 * 计费时间(3分钟)2.里程费用 = 每公里单价 * 行驶里程3.等待时间费用 = 单价 * 等待时间(秒)4.总费用 = 起步价费用 + 里程费用 + 等待时间费用 + 夜间服务费(如有)5.每公里单价、起步价、等待时间单价、夜间服务费等参数均可根据实际需求进行设定。

eda出租车计费器设计总结

eda出租车计费器设计总结

eda出租车计费器设计总结EDA出租车计费器设计总结引言在现代都市生活中,出租车已经成为人们出行的重要交通工具之一。

为了保证乘客和司机的权益,出租车计费器的设计显得尤为重要。

本文将对EDA出租车计费器的设计进行总结和说明。

一、计费模式的选择EDA出租车计费器采用了基于距离和时间的复合计费模式。

这种模式能够更准确地反映出乘客乘坐出租车的实际消费情况,同时也能够保证司机的收入。

二、计费规则的制定1. 距离计费规则:EDA出租车计费器根据乘客的行程距离进行计费。

起步价为10元,包含了2公里的距离。

超过2公里后,每增加1公里加收2元。

这样的计费规则既能够保证司机的基本收入,又能够避免乘客因短途行程而支付过高的费用。

2. 时间计费规则:在乘客在行程过程中遇到交通拥堵等情况时,EDA出租车计费器会根据乘客在车上的时间进行计费。

每分钟加收0.5元,以此来弥补司机因交通拥堵而浪费的时间和精力。

三、计费器的显示和操作1. 显示屏:EDA出租车计费器配备了大尺寸的液晶显示屏,能够清晰地显示乘客的行程信息和当前的计费金额。

显示屏还会提示乘客是否需要打印行程发票。

2. 操作按钮:计费器上设有简洁明了的操作按钮,乘客可以根据需要选择打印发票、查询行程历史等功能。

四、人性化设计1. 声音提示:EDA出租车计费器设置了人性化的声音提示功能,例如乘客上车后会有欢迎提示音,乘客下车后会有计费金额的语音播报等,这样能够提升乘客的使用体验。

2. 灵敏度调节:计费器的控制面板上还设置了灵敏度调节按钮,乘客可以根据自己的需求,自行调节按键的灵敏度,以便更好地操作计费器。

五、结算方式EDA出租车计费器支持多种支付方式,包括现金支付、刷卡支付、二维码支付等。

这样的设计能够方便乘客进行结算,提高支付的便捷性。

六、结论通过以上的总结和说明,可以看出EDA出租车计费器的设计考虑了乘客和司机的实际需求,既能够保证司机的收入,又能够提供方便快捷的支付方式给乘客。

EDA课程设计出租车计费器

EDA课程设计出租车计费器

目录1引言 (1)1.1EDA技术的发展史 (1)1.2EDA设计技术的主要内容 (1)1.3EDA设计技术的基本特征 (2)1.4课题的意义 (3)1.5课题设计的基本要求 (4)2出租车计价器的设计 (5)2.1出租车计价器的设计原理 (5)2.2各个模块功能的设计 (6)2.2.1分频模块 (6)2.2.2计量模块 (6)2.2.3 控制模块 (7)2.2.4 计费模块 (8)2.2.5 译码显示模块 (9)2.2.6 顶层模块 (9)2.3引脚设置 (10)2.4硬件仿真 (11)3心得体会 (12)参考文献 (13)附录 (14)1引言1.1EDA技术的发展史EDA技术伴随着计算机,集成电路,电子系统设计的发展,经历了计算机辅助设计(Computer-Aided Design, CAD),计算机辅助工程设计(Computer-Aided Engineering Design,CAED)和电子设计自动化(EDA)3个发展阶段。

1. 20世纪70年代的计算机辅助设计(CAD)阶段早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到大量选用中小规模标准集成电路阶段。

人们将这些器件焊接在电路板上,做成初级电子系统,对电子系统的调试是在组装好的印刷电路板(Printed Circuit Board,PCB)上进行的。

由于设计师对图形符号使用数量有限,传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求。

这时,人们开始将产品设计过程中高度重复性的繁杂劳动,如布图布线工作,用二维图形编辑与分析的CAD工具替代,最具代表性的产品就是美国Accel公司开发的Tango布线软件。

由于PCB布图布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能比较差。

20世纪70年代,可以说是EDA技术发展的初期。

2. 20世纪80年代的计算机辅助工程设计(CAED)阶段初级阶段的硬件设计是用大量不同型号的标准芯片实现电子系统设计的。

出租车计费器eda课程设计

出租车计费器eda课程设计

出租车计费器eda课程设计一、教学目标本课程旨在通过学习出租车计费器的设计与实现,使学生掌握以下知识目标:1.理解出租车计费器的原理和结构;2.学习相关的电子技术和电路知识;3.掌握编程语言和嵌入式系统的基本应用。

4.能够独立设计和搭建出租车计费器的电路;5.能够编写程序实现计费器的功能;6.能够进行实验操作,并对实验结果进行分析。

情感态度价值观目标:1.培养学生对科学研究的兴趣和热情;2.培养学生团队合作意识和沟通能力;3.培养学生对工程实践的责任感和安全意识。

二、教学内容本课程的教学内容主要包括以下几个部分:1.出租车计费器的原理和结构;2.相关的电子技术和电路知识;3.编程语言和嵌入式系统的基本应用;4.出租车计费器的实验操作和结果分析。

具体安排如下:第1-2周:介绍出租车计费器的原理和结构,学习相关的电子技术和电路知识;第3-4周:学习编程语言和嵌入式系统的基本应用;第5-6周:进行出租车计费器的实验操作,并对实验结果进行分析。

三、教学方法为了更好地实现教学目标,我们将采用以下教学方法:1.讲授法:通过讲解出租车计费器的原理和结构,相关的电子技术和电路知识,以及编程语言和嵌入式系统的基本应用;2.实验法:让学生亲自动手进行出租车计费器的实验操作,培养学生的实践能力;3.讨论法:在课堂上引导学生进行思考和讨论,激发学生的学习兴趣和主动性。

四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材和参考书:为学生提供系统的理论知识;2.多媒体资料:通过视频、图片等形式,为学生提供丰富的学习材料;3.实验设备:为学生提供实践操作的机会,培养学生的实践能力。

五、教学评估本课程的评估方式将包括以下几个方面:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等情况,评估学生的学习态度和理解能力;2.作业:布置相关的编程练习和实验报告,评估学生的掌握程度和实践能力;3.考试:通过期末考试,全面评估学生对课程知识的掌握情况。

出租车计费器eda课程设计

出租车计费器eda课程设计

出租车计费器eda课程设计一、课程目标知识目标:1. 学生理解出租车计费器的基本工作原理,掌握其计费算法。

2. 学生掌握EDA(电子设计自动化)的基本概念,学会使用相关软件工具进行简单电路设计和仿真。

3. 学生了解出租车计费器在实际生活中的应用,掌握相关电子元件的功能和连接方式。

技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的出租车计费器电路。

2. 学生能够运用EDA软件进行电路仿真,验证计费器的功能。

3. 学生能够通过小组合作,解决实际设计过程中遇到的问题,提高团队协作能力。

情感态度价值观目标:1. 学生培养对电子技术学科的兴趣,激发学习热情。

2. 学生培养创新意识和动手能力,提高自信心和成就感。

3. 学生学会关注生活中的实际问题,培养学以致用的价值观。

课程性质:本课程为电子技术学科的课程设计,旨在让学生通过实际操作,掌握出租车计费器的工作原理和设计方法,提高学生的动手能力和团队协作能力。

学生特点:学生具备基本的电子技术知识,对实际操作和设计有一定兴趣,喜欢探索新事物。

教学要求:教师需引导学生主动参与,注重理论与实践相结合,关注学生的个体差异,提高学生的自主学习能力。

同时,注重培养学生的团队协作能力和创新意识。

通过本课程的学习,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。

二、教学内容根据课程目标,本章节教学内容如下:1. 出租车计费器原理介绍- 计费器的基本工作原理- 计费算法及其实现2. EDA软件工具使用- EDA软件的安装与基本操作- 电路设计原理及仿真方法3. 电子元件及其功能- 常用电子元件的识别与使用- 元件连接方式及其在出租车计费器中的应用4. 出租车计费器电路设计- 设计要求与电路框图- 电路搭建与调试5. 小组合作与问题解决- 团队协作能力的培养- 实际设计过程中问题的发现与解决教学大纲安排:第一课时:出租车计费器原理介绍第二课时:EDA软件工具使用第三课时:电子元件及其功能第四课时:出租车计费器电路设计第五课时:小组合作与问题解决教材章节关联:本教学内容与教材中“电子技术应用”章节相关,涉及计费器原理、EDA软件应用、电子元件使用等方面的内容。

EDA 出租车计费器

EDA 出租车计费器

目录第一章引言 (1)1.1 设计背景 (1)1.2 设计任务与要求 (1)1.21 设计任务 (1)1.22 设计要求 (1)第二章系统方案设计 (2)2.1 出租车计费器工作原理 (2)2.2 基本设计思想 (2)第三章主要功能的实现 (3)3.1 具体模块功能设计 (3)3.2 具体模块实现 (4)3.21 车速控制模块 (4)3.22 里程计数模块 (4)3.23 计费计数模块 (4)3.24 动态扫描模块 (4)3.3 总模块 (4)第四章程序调试及局部仿真结果 (6)4.1 车速控制模块仿真结果 (6)4.2 测试结果展示 (6)第五章结束语 (8)参考资料 (9)附件 (10)第一章引言1.1 设计背景随着出租车行业的发展,对出租车计费器的要求也越来越高。

最近几年出租车行业发展迅速,在全国有几千家出租车公司。

因此出租车计费器市场是庞大的。

而出租车计费器成为不可缺少的一部分。

信息社会的现代电子产品,性能越来越高,复杂度越来越大,更新步伐也越来越快。

实现这种进步的主要原因就是微电子技术和电子技术的发展。

而电子技术的发展后者的核心就是电子设计自动化EDA (Electronic Design Automatic)技术。

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

由美国国防部提出的VHDL(Very High Speed Integrated CIRCUIT HARDWARE DESCRIPTION Language)即超高速集成电路硬件描述语言,采用高层次的、自顶向下的设计方法来描述硬件,非常适合当前集成化、大规模的需要。

EDA 出租车计价器的设计

EDA 出租车计价器的设计

EDA技术课程设计任务书注:可以附页目录1 概述 (1)2 总体设计 (2)2.1 设计要求 (2)2.2 设计思路 (2)2.3 设计流程图 (2)2.4 总体设计封装图 (4)2.5 各信号说明 (4)3 模块设计 (5)3.1秒分频模块 (5)3.2控制模块 (5)3.3计量模块 (5)3.3.1计价部分 (5)3.3.2计时部分 (5)3.3.3计程部分 (5)3.4译码显示模块 (5)4程序调试运行 (6)5硬件验证 (8)5.1引脚锁定 (8)5.2硬件验证情况 (8)6 总结及体会 (9)参考文献 (10)附录(程序源代码) (11)1概述随着我国国民经济生产总值的增加以及人民生活水平的提高,各大中小城市的出租车营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。

出租车计价器是出租车营运收费的专用智能化仪表,是使出租车市场规范化、标准化的重要设备。

一种功能完备,简单易用,计量准确的出租车计价器是加强出租车行业管理,提高服务质量的必需品。

本设计采用VHDL硬件描述语言作为设计手段,采用自顶向下的设计思路,得到一种出租车计价系统的软件结构,通过QuartusⅡ软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求。

该设计虽然功能简单,智能化水平比较低,但仍具有一定的实用性。

该设计是在VHDL的基础上对出租车计价器进行设计来实现其基本功能的,与以往的基于单片机的数模混合电路相比,FPGA具有稳定性好、抗干扰能力强、电路实现简单、程序简单等优点,且非常适合做为出租车计价器的控制核心,所以选择用VHDL来对计价器进行设计来实现其功能。

出租车计价器的实现将大大改善人们出行时因为讨价还价而带来的烦恼,从而使人们的心情比较愉悦。

本设计是对出租车计价器的四个模块进行分析的,综述如下:分频模块:分频模块是其它模块的基础,输入时钟选为32Hz,分频后的时钟频率为1Hz,为后续模块提供基本时钟。

EDA课程设计出租车计费器

EDA课程设计出租车计费器

EDA技术课程设计说明书出租车计费器设计院、部:电气与信息工程学院学生姓名:杨建雄指导教师:职称专业:电气工程及其自动化班级:电气本 1202班完成时刻: 2021年6月20日摘要本文介绍了一种采纳单片FPGA芯片进行出租车计费器的设计方式,要紧论述如何利用新兴的EDA器件取代传统的电子设计方式,利用FPGA的可编程性,简练而又多变的设计方式,缩短了研发周期,同时使出租车计费器体积更小功能更壮大。

本设计实现了出租车计费器所需的一些大体功能,计费包括起步价、行车里程计费、等待时刻计费,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中。

要紧包括采纳了FPGA芯片,利用VHDL语言进行编程,使其具有了更强的移植性,加倍利于产品升级。

关键词:VHDL;计费器;QuartusⅡ;FPGA目录设计要求 ................................................. 错误!未定义书签。

一、方案论证与对照 ....................................... 错误!未定义书签。

、方案一.............................................. 错误!未定义书签。

、方案二.............................................. 错误!未定义书签。

、方案对照与选择...................................... 错误!未定义书签。

二、要紧模块设计 ......................................... 错误!未定义书签。

、速度模块............................................ 错误!未定义书签。

、计程模块............................................ 错误!未定义书签。

EDA课程设计出租车计价器

EDA课程设计出租车计价器

初始化:设置计价器 参数,如起步价、单 价、里程等
输入:获取乘客上车 地点、下车地点等信 息
计算:根据输入的信 息,计算车费
输出:显示车费结果, 并提示乘客支付
结束:乘客支付后, 结束计价器程序
计时模块设计
功能:记录出租车行驶时间
实现方法:使用定时器或系统时间 获取时间,并记录在数据库中
添加标题
添加标题
绿色化:EDA技术将更加绿色化,能够更 好地保护环境和资源
THANKS
汇报人:
EDA课程设计出租车计 价器
,a click to unlimited possibilities
汇报人:
目录
01 添 加 目 录 项 标 题 03 E D A 技 术 应 用 05 计 价 器 软 件 程 序 设 计 07 总 结 与 展 望
02 计 价 器 系 统 概 述 04 计 价 器 硬 件 电 路 设 计 06 计 价 器 系 统 测 试 与 验 证
计价器系统的基本组成
计价器硬件:包括显示屏、键盘、打印机等 计价器软件:包括操作系统、计价器应用软件等 数据存储:包括计价器数据、交易记录等 通信模块:用于与出租车管理系统进行数据传输和通信
计价器的工作原理
计价器通过GPS定位获取 车辆位置信息
根据行驶距离和时间计算 车费
计价器显示车费信息,包 括起步价、里程费、等待 费等
Part Four
计价器硬件电路设 计
硬件电路设计概述
计价器硬 件电路设 计主要包 括:主控 芯片、显 示屏、键 盘、传感 器等部分。
主控芯片 负责控制 整个系统 的运行, 包括数据 处理、显 示控制、 键盘输入 等。
显示屏用 于显示计 价信息, 如里程、 时间、金 额等。

EDA课程设计出租车自动计费器

EDA课程设计出租车自动计费器

课程设计课程设计名称:EDA课程设计专业班级:电科1002班学生姓名:XXX学号:2010483602XX指导教师:XXX课程设计时间:2013-2-25~2013-3-8电子信息科学与技术专业课程设计任务书参考文献(1)黄智伟. FPGA系统设计与实践电子工业出版社2005.1 (2)焦素敏. EDA课程设计指导书河南工业大学2008.2 (3)焦素敏. EDA应用技术清华大学出版社2005.4审查意见指导教师签字:教研室主任签字:张庆辉2013年2月25日一、设计任务及要求设计一个出租车计价器:计费包括起步价、行车里程计费、等待时间计费3部分。

用3用用用用用用用用,最大值为999.9元,最小计价单元为0.1元;行程3公里内,且等待时间累计3分钟内,起步价10元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1.5元;用两位数码管显示总里程,最大值为99公里用两位数码管显示等待时间,最大值为59min。

二、设计原理及总体框图出租车自动计费器分为分频模块、控制模块、计量模块、计费模块、译码和显示模块。

A)、设计原理:(1)分频模块分频模块对频率为240HZ的输入脉冲进行分频,得到的频率为16HZ、15HZ、和1HZ的3种频率。

该模块产生频率信号用于计费,每个脉冲为0.1元计费控制,其中15Hz信号为1.5元的计费控制,16Hz信号为1.6元计费控制。

(2)计量控制模块计量控制模块式出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分钟的等待计时使能控制信号en1、行程3公里外的使能控制信号en0。

其中计价功能主要完成的任务是:行程3公里内且等待累计时间在3分钟内起步价为10元3公里以外每公里1.6元计费,等待时间3分钟以外每分钟1.5元计费。

计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零。

计程主要完成的任务是:计算乘客所行驶的公里数,计价器的量程为99公里,满量程自动归零。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

6.7出租车自动计费器EDA设计6.7.1 设计要求设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示金额,最大值为999.9元,最小计价单元为0.1元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元。

用两位数码管显示总里程。

最大为99公里,用两位数码管显示等待时间,最大值为59min。

6.7.2原理描述根据层次化设计理论,该设计问题自顶向下可分为分频模块,控制模块计量模块、译码和动态扫描显示模块,其系统框图如图6-63所示,各模块功能如下:图6-63出租车自动计费器系统框图1分频模块分频模块对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,10Hz和1Hz的三种频率。

该模块产生频率信号用于计费,每个1HZ脉冲为0.1元计费控制,10HZ信号为1元的计费控制,16Hz信号为1.6元计费控制。

2 计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分种的等待计时使能控制信号en1, 行程 3公里外的使能控制信号en0。

其中计价功能主要完成的任务是:行程 3公里内,且等待累计时间3分钟内,起步费为8元;3公里外以每公里1.6元计费,等待累计时间3分钟外以每分钟1元计费;计时功能主要完成的任务是:计算乘客的等待累计时间,计时器的量程为59分,满量程自动归零;计程功能主要完成的任务是:计算乘客所行驶的公里数。

计程器的量程为99公里,满量程自动归零。

3 译码显示模块该模块经过8选1选择器将计费数据(4位BCD码)、计时数据(2位BCD码)、计程数据(2位BCD码)动态选择输出。

其中计费数据jifei4~ jifei1~送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示,最大显示为999.9元;计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管上显示,最大显示为59秒;计程数据送入显示译码模块进行译码,最后送至以公里为单位的数码管上显示,最大显示为99公里。

6.7.3层次化设计方案1出租车自动计费器系统的主体FPGA电路txai的VHDL设计根据6.7.2节的功能描述,该电路的核心部分就是计数分频电路,通过VHDL语言的顺序语句IF-THEN-ELSE根据一个或一组条件来选择某一特定的执行通道,生成计费数据、计时数据和里程数据。

其VHDL源程序如下:LIBRARY IEEE;USE IEEE.std_logic_1164.all;USE IEEE.std_logic_unsigned.all;USE IEEE.std_logic_arith.all;ENTITY taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_10,f_16,f_1:std_logic; --频率为10Hz,16Hz,1Hz的信号signal q_10:integer range 0 to 23; --24分频器signal q_16:integer range 0 to 14; --15分频器signal q_1:integer range 0 to 239; --240分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --十进费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_10<=0;q_16<=0;f_10<='0';f_16<='0';f_1<='0';f<='0';elseif q_10=23 then q_10<=0;f_10<='1'; --此IF语句得到频率为10Hz的信号else q_10<=q_10+1;f_10<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此IF语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此IF语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_10; --此IF语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end process;main:process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此IF语句完成等待计时if m0="1001" then m0<="0000"; --此IF语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000010"then en1<='1'; --此IF语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此IF语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此IF语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if ;end process main;jifei:process(f,start)beginif start='0' then c3<="0000";c2<="0000";c1<="1000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此IF语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process jifei;end behav;该源程序包含3个进程模块。

fenpin进程对频率为240Hz的输入脉冲进行分频,得到的频率为16Hz,10Hz和1Hz的三种计费频率信号,供main进程和jifei进程进行计费、计时、计程之用;main进程完成等待计时功能、计程功能,该模块将等待时间和行驾公里数变换成脉冲个数计算,同时产生3分种的等待计时使能控制信号en1, 行程3公里外的使能控制信号en0;jifei进程将起步价8元预先固定在电路中,通过对计费脉冲数的统计,计算出整个费用数据。

相关文档
最新文档