交通灯控制器的设计
基于单片机的智能交通灯控制器设计
基于单片机的智能交通灯控制器设计一、本文概述随着城市化进程的加快,交通拥堵问题日益严重,智能交通系统的应用与发展成为解决这一问题的关键。
其中,智能交通灯控制器作为交通系统的重要组成部分,对于提高道路通行效率、保障行车安全具有重要意义。
本文旨在设计一种基于单片机的智能交通灯控制器,通过优化算法和硬件设计,实现交通灯的智能控制,以适应不同交通场景的需求,提升城市交通的整体运行效率。
本文将首先介绍智能交通灯控制器的研究背景和意义,阐述现有交通灯控制系统的不足和改进的必要性。
接着,文章将详细介绍基于单片机的智能交通灯控制器的设计方案,包括硬件电路的设计、控制算法的选择与优化等方面。
在此基础上,本文将探讨如何通过软件编程实现交通灯的智能控制,并讨论如何在实际应用中调试和优化系统性能。
文章将总结研究成果,展望智能交通灯控制器在未来的发展方向和应用前景。
通过本文的研究,旨在为城市交通管理提供一种新的智能化解决方案,为缓解交通拥堵、提高道路通行效率提供有力支持。
本文的研究也有助于推动单片机技术和智能交通系统的发展,为相关领域的研究和实践提供有益的参考和借鉴。
二、单片机技术概述单片机,即单片微型计算机(Single-Chip Microcomputer),是一种集成电路芯片,它采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O 口和中断系统、定时器/计时器等功能集成到一块硅片上,构成一个小而完善的微型计算机系统。
单片机以其体积小、功能强、成本低、可靠性高、应用广泛等特点,广泛应用于工业控制、智能仪表、家用电器、医疗设备、航空航天、军事装备等领域。
单片机作为智能交通灯控制器的核心部件,具有不可替代的重要作用。
它负责接收来自传感器的交通信号输入,根据预设的交通规则和算法,快速作出判断,并输出相应的控制信号,以驱动交通信号灯的亮灭和变化,从而实现交通流量的有序控制和疏导。
智能交通灯控制系统的设计与实现
智能交通灯控制系统的设计与实现随着城市化进程的加速,城市道路交通越来越拥堵,交通管理成为城市发展的一个重要组成部分。
传统的交通信号灯只具备固定时序控制交通流量的功能,但随着技术的进步和智能化应用的出现,要求交通信号灯具备实时性、自适应性和智能化,因此,智能交通信号灯控制系统应运而生。
本文将从软硬件系统方面,详细介绍智能交通灯控制系统的设计与实现。
一、硬件设计智能交通灯控制系统的硬件部分由四个部分组成:单片机系统、交通灯控制器、传感器及联网模块。
1. 单片机系统单片机是智能交通灯控制系统的核心,该系统选用了8位单片机,主要实现红绿灯状态的自适应和切换。
在设计时,需要根据具体情况选择型号和板子,选择时需要考虑其开发环境、风险和稳定性等因素。
2. 交通灯控制器交通灯控制器是智能交通灯控制系统中的另一个重要部分,主要实现交通信号的灯光控制。
在控制器的设计时,需要考虑网络连接、通信、数据传输等多方面因素,确保系统的稳定性和可靠性。
3. 传感器传感器主要负责采集道路交通信息,包括车辆数量、速度、方向和道路状态等,从而让智能交通灯控制系统更好地运作。
传感器有多种类型,包括磁感应传感器、摄像头、光电传感器等,需要根据实际需求选择。
4. 联网模块联网模块主要负责智能交通灯控制系统的联网和数据传输,包括存储和处理车流数据、上传和下载数据等。
在设计时,需要考虑网络连接的稳定性、数据安全等因素,确保智能交通灯控制系统的连续性和可靠性。
二、软件设计智能交通灯控制系统的软件部分主要由两部分组成:嵌入式系统和上位机系统。
1. 嵌入式系统嵌入式系统是智能交通灯控制系统的主体,主要设计车流量检测、信号灯状态切换等程序。
为了保证系统的自适应性和实时性,需要采用实时操作系统,如FreeRTOS等。
在软件设计阶段,需要注意设计合理的算法和模型,确保系统的准确性和稳定性。
2. 上位机系统上位机系统主要实现智能交通灯控制系统的监控和管理,包括车流量监控、灯光状态监控、信号灯切换和日志记录等。
交通灯控制电路的设计(实验报告)
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
(完整word版)数电——交通灯控制器设计
(完整word版)数电——交通灯控制器设计大连交通大学电气信息学院综合设计报告设计名称:数字逻辑综合设计设计题目:交通灯控制器学生学号:专业班级:学生姓名:第一章课题背景1。
1 背景如今随着人们生活水平的提高,车辆越来越多,交通事故频繁发生。
交通信号灯的出现,使交通得以有效管制,对于疏通交通流量,提高道路通行能力,减少交通事故有明显效果。
交通灯在城市交通中起着重要的作用,它与人们日常生活密切相关,是人们出行的安全保障。
因此提供一个问题、安全、便捷的多功能交通灯控制系统有着现实的必要性.为了解决这些问题,我们更应该提高交通控制和管理水平,合理使用现有交通设施,充分发挥其能力,提高交通效率,促进和谐交通的建立.目前交通灯控制系统的设计软件也种类繁多,有基于EDA设计的,基于单片机设计的,基于DSP设计的,基于ARM嵌入式的等。
还有用标准逻辑器件、可编程控制器PLC等方案来实现.但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及调试的困难。
所以现在国内外广泛采用EDA技术设计交通灯控制系统。
在国外,英国,澳大利亚,日本和美国等国家均在交通控制系统上日益完善。
如以澳大利亚悉尼为背景开发的交通自适应协调系统SCATS(Sydney Coordinated Adaptive Traffic System),英国的运输和道路研究所(TRRL)研制的SCOOT(Split Cycle Offset Optimization Technique)系统,日本的京三(Kyosan)系统等。
这些系统,大都是在各路口附近安装磁性环路监控器,由各路口的控制设备、人员将交通控制参数通过通讯网络输入微处理器,用小型计算机进行集中处理。
目前国内已有一些自主开发的城市交通控制系统,如公安部交通科学研究所开发的HT-UTCS系统,但它在整体性能上比国外同类系统仍有较大差距,只在一些中小城市得到一些应用。
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
交通灯控制器课程设计
目录
1 设计任务及要求 2 总体设计方案 3 控制电路设计
3.1 控制电路工作原理 3.2控制电路设计过程
4 倒计时电路设计
4.1具有同步置数功能的十进制减法计数器设计 4.2主干道和支干道倒计时电路设计
5 译码显示电路设计
5.1动态显示工作原理 5.2动态显示及译码电路设计
共阴极数码管:将每个数码管的公共端(阴极)分别接三-八译码器的输出, 三-八译码器的输入为位选信号;将多个数码管的相同段接在一起,作为段码 输入端。
七段译码
报告要求
CONTENTS
01 封面
02 目录:四号 宋体
03
正文:小四 宋体 1.5 倍行距
04 参考文献 :五号 宋体
一号 宋体
四号 宋体
由具有同步置数功能的十进制减法计数器实现。
将2片级联实现2位十进制减法计数器。
当主干道或者支干道减法计数器值为01时,产生同步置数信 号,将下一状态计数初值置入。
状态
S0
00
S1
01
S2
10
S3
11
主干道预置数
D7D6 D5D4
D3D2 D1D0
0000
0101
0101
0000
0000
0101
0110
○ 黄灯每秒闪亮一次。
总体方案
时钟
红绿 灯
控制器
交通灯控 制器的功 能框图
倒计时 计数器
数码 显示扫描
管
、译码
设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支 干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且 均用0表示灭,1表示亮,则交通灯有如下四种输出 状态:
状态
交通灯控制器设计原理
交通灯控制器设计原理
交通灯控制器设计的核心原理主要包含定时器和译码器的工作方式。
定时器由不系统秒脉冲(由时钟脉冲产生器提供)同步的计数器构成。
计数器在状态信号ST作用下首先清零,然后在时钟脉冲上升沿作用下,计数器从零开
始进行增1计数,向控制器提供模5的定时信号TY和模25的定时信号TL。
译码器则输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作。
控制器是系统的主要部分,由它控制定时器和译码器的工作。
此外,还需要考虑到实际应用中的一些细节,例如信号灯的闪烁频率、颜色和持续时间等,以确保交通灯控制器能够有效地控制交通流量,提高交通效率并保障交通安全。
以上内容仅供参考,如需更多信息,建议查阅相关文献或咨询交通工程专家。
交通灯PLC控制系统设计
交通灯PLC控制系统设计交通灯是城市交通管理的重要组成部分,交通灯控制系统的设计对于保障交通安全和优化交通流量起着关键作用。
PLC(可编程逻辑控制器)技术在交通灯控制系统中得到了广泛应用,本文将从系统设计的整体框架、PLC程序设计、硬件选型以及系统特点等方面来详细介绍。
交通灯PLC控制系统设计的整体框架主要包括信号采集模块、信号处理模块、控制模块和执行模块四部分。
信号采集模块主要负责将交通流量、行人流量等信息转化为电信号输入给PLC控制器;信号处理模块对采集到的信号进行处理,如检测交通流量的高低以及行人通过的情况;控制模块根据信号处理结果,生成控制信号输出给执行模块;执行模块实现交通灯的控制,通过电路和执行器实现交通灯的开关。
PLC程序设计是交通灯PLC控制系统设计的核心部分,主要包括输入端口设置、控制逻辑设计、输出端口设置和通信设置等。
在输入端口设置中,确定采集到的数据类型和数据源,如交通流量和行人流量分别通过传感器采集。
控制逻辑设计是根据交通灯的状态和信号控制规则确定交通灯的控制方式,比如根据交通流量高低切换交通灯的状态。
输出端口设置是将确定好的控制信号输出到对应的执行模块,如输出信号控制交通灯的红绿灯状态。
通信设置是实现与其他相关系统的联动,如与监控系统的数据交互。
硬件选型是交通灯PLC控制系统设计的重要环节,主要包括PLC控制器、传感器、执行器和电源等。
PLC控制器应该具有高性能、稳定可靠的特点,能够满足交通灯控制系统的需求。
传感器的选型应基于交通流量和行人流量的检测需求,常用的有光电传感器、气压感应器等。
执行器的选型应根据交通灯的类型确定,如LED灯管、数码管等。
电源的选型应满足交通灯控制系统的供电需求,选用稳定可靠的电源。
交通灯PLC控制系统设计具有以下特点:灵活性高、可靠性强、实时性好。
PLC控制器的可编程性使得交通灯的控制逻辑可以根据实际需求进行灵活调整,满足不同时间段的交通流量要求。
交通灯信号控制器的设计
1 设计任务描述1.1设计题目:交通灯信号控制器的设计1.2 设计要求1.2.1 设计目的熟练使用Keil开发环境,具备编写单片机程序(汇编语言或C语言)的初步能力,通过完成本课题的软硬件设计,使同学们了解单片机实例的整个开发流程。
1.2.2 基本要求用单片机设计出一个交通信号灯控制器。
此交通信号灯控制器完成控制红黄绿三种颜色灯的点亮和熄灭。
设计一个交通信号灯控制器,该交通信号灯控制器基本功能:设A道为东西道,B道为南北道,A道放行时间10s,B道放行时间为15s,绿灯放行,红灯停止,放行的最后三秒绿灯闪烁,绿灯转红灯时黄灯亮3s。
同时该交通信号灯控制器附加功能:当一道一直有车另一道无车时,交通控制系统能立即让有车的车道放行,当有紧急车辆(如110,120,119等急救车)要求通过时,此系统应能禁止普通车辆通行,路口的信号灯全部变红,以便让紧急车辆通过,紧急车辆通过后,交通灯恢复先前状态。
1.2.3 发挥部分当有紧急车辆(如110,120,119等急救车)要求通过时,此系统应能禁止普通车辆通行,路口的信号灯全部变红,同时报警声音响起,紧急车辆通过后,交通灯恢复先前状态。
2 设计思路本系统拟采用AT89C51单片机作为交通灯系统的控制核心。
在十字路口东西方向通行时,南北方向红灯显示13秒,同时东西向绿灯显示10秒,当南北方向红灯倒计时显示为3秒时,东西方向黄灯显示3秒并闪烁;南北方向绿灯显示15秒,东西方向红灯显示18秒,当南北方向红灯倒计时显示为3秒时,东西方向黄灯显示3秒并闪烁,如此循环。
当发生紧急情况时,两路红灯亮,紧急结束时继续显示上一个状态。
从设计所要完成的任务和要求来看,单一路口显示倒计时时间的数码管必须用两位,对于七段数码管,倒计时显示装置中的数码管在本系统中采用的是动态显示;设置了4个按键来处理交通灯在实际应用中可能出现的特殊情况,P3.1接K1键,P3.2接K2键,P3.3接K3键,P3.4接K4键;十字路口共需4组红绿灯,本设计中为简化,只设置2组红绿灯。
交通灯控制器+数字电路课程设计报告
交通灯控制器+数字电路课程设计报告交通灯控制器是交通管理系统中的重要组成部分,其主要作用是控制道路上的交通信号灯。
随着数字电路技术的发展,交通灯控制器也逐渐向数字化、智能化方向发展。
本文将详细介绍一种基于数字电路的交通灯控制器设计,以及该设计方案的实现和效果。
一、设计方案1.硬件设计硬件设计方案主要包括数字电路的选择、交通灯的控制模块、传感器等。
本方案选用FPGA芯片作为控制芯片,该芯片具有先进的数字信号处理能力和可编程性,便于开发和定制。
交通灯的控制模块包括红灯、黄灯、绿灯三个信号灯的控制器,以及车辆、行人传感器等。
其中车辆传感器主要用来检测车流量,行人传感器主要用来检测行人通行情况。
2.软件设计软件设计方案主要包括程序的设计和调试,以及人机界面的设计和开发。
程序设计方案采用Verilog HDL语言进行实现,采用时序逻辑设计的思路来编写程序,实现红绿灯的控制和状态转移。
人机界面采用C语言进行编写,通过串口通信与控制芯片进行数据传输和控制。
二、实现过程在设计方案确定后,我们进一步开始实现。
首先是电路的焊接和测试,在确定电路正常无误后,再完成程序的编写和调试。
最后是人机接口的开发和完善。
具体实现流程如下:1.电路焊接首先进行电路布线和焊接,将FPGA芯片、光耦隔离器、电位器等元器件焊接到电路板上,以及信号灯、传感器等元器件的接入。
2.程序编写利用Verilog HDL语言编写程序,主要包括红绿灯状态的转移逻辑和相应的信号输出控制。
程序设计过程中,需要注意时序和状态的转移。
3.调试测试完成程序编写后,需要进行相应的调试测试。
通过仿真测试,检查程序逻辑是否正确,排除潜在问题。
在硬件实验平台上进行测试,确定系统能够正常工作。
4.人机界面开发利用C语言编写人机界面,实现与交通灯控制器的交互控制。
实现车辆、行人传感器的数据采集和显示,以及人手动控制交通灯的功能。
三、实现效果通过测试和实验验证,本文的交通灯控制器设计方案具有以下优势:1.使用FPGA芯片作为控制芯片,具有较强的可编程性和数字信号处理能力。
交通灯控制器的设计
VHDL交通灯控制器的设计设计题:交通灯控制器的设计设计目的1、1、了解交通灯控制原理2、了解模块化设计方法3、掌握数字系统设计的方法设计要求:(1)设计一个十字路口交通管理信号灯控制电路。
绿灯55s,黄灯5s,红灯60s;(2)两个通道都在各亮灯期间分别进行倒计时,并将运行时间用数码管显示出来;(附加要求)(3)对器件进行在系统编程和实验验证。
写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。
设计思路:根据上文中确定的系统设计方案,运用模块化的设计思路,我们QuartusII 7.2软件系统中设计了1Hz分频电路、交通灯控制模块、显示控制模块、显示译码模块55秒倒计时时器模块、5秒倒计时器模块的HDL程序,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路,得到其逻辑结构原理图,即为整个交通信号灯控制电路的逻辑结构。
设计分成4大块:1Hz时钟信号模块;X方向控制模块;Y方向控制模块;显示模块;分频模块代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clkGen isport(clk:in std_logic; --外部时钟信号clk_out:out std_logic --分频后信号);end clkGen;architecture clkGen_arc of clkGen isbeginprocess(clk)variable temp:integer range 0 to 999;beginif(clk'event and clk='1')thenif(temp=999)then --分频计数temp:=0;clk_out<='0';elsetemp:=temp+1;clk_out<='1';end if;end if;end process;end;仿真结果:X方向控制模块代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY corna ISPORT (clk:IN STD_LOGIC;r,g,y:OUT STD_LOGIC;timh,timl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END corna;ARCHITECTURE corner OF corna ISTYPE rgy IS (green,yellow,red);BEGINPROCESS (clk)V ARIABLE a:STD_LOGIC;V ARIABLE th,tl:STD_LOGIC_VECTOR (3 DOWNTO 0);V ARIABLE state:rgy;BEGINIF(clk'EVENT AND clk='1') THENCASE state ISWHEN green=>IF a='0' THENth:="0101";tl:="0100";a:='1';g<='1';r<='0';y<='0';ELSEIF NOT (th="0000" AND tl="0001") THENIF tl="0000" THENtl:="1001";th:=th-1;ELSEtl:=tl-1;END IF;ELSEth:="0000";tl:="0000";a:='0';state:=yellow;END IF;END IF;WHEN yellow=>IF a='0' THENth:="0000";tl:="0100";a:='1';y<='1';g<='0';r<='0';ELSEIF NOT (th="0000" AND tl="0001") THENtl:=tl-1;ELSEth:="0000";tl:="0000";a:='0';state:=red;END IF;END IF;WHEN red=>IF a='0' THENth:="0101";tl:="1001";a:='1';r<='1';y<='0';g<='0';ELSEIF NOT (th="0000" AND tl="0001") THENIF tl="0000" THENtl:="1001";th:=th-1;ELSEtl:=tl-1;END IF;ELSEth:="0000";tl:="0000";a:='0';state:=green;END IF;END IF;END CASE;END IF;timh<=th;timl<=tl;END PROCESS;END corner;Y方向控制模块:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cornb ISPORT (clk:IN STD_LOGIC;r,g,y:OUT STD_LOGIC;timh,timl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END cornb;ARCHITECTURE corner OF cornb ISTYPE rgy IS (red,green,yellow);BEGINPROCESS (clk)V ARIABLE a:STD_LOGIC;V ARIABLE th,tl:STD_LOGIC_VECTOR (3 DOWNTO 0);V ARIABLE state:rgy;BEGINIF(clk'EVENT AND clk='1') THENCASE state ISWHEN red=>IF a='0' THENth:="0101";tl:="1001";a:='1';g<='0';r<='1';y<='0';ELSEIF NOT (th="0000" AND tl="0001") THENIF tl="0000" THENtl:="1001";th:=th-1;ELSEtl:=tl-1;END IF;ELSEth:="0000";tl:="0000";a:='0';state:=green;END IF;END IF;WHEN green=>IF a='0' THENth:="0101";tl:="0100";a:='1';y<='0';g<='1';r<='0';ELSEIF NOT (th="0000" AND tl="0001") THENIF tl="0000" THENtl:="1001";th:=th-1;ELSEtl:=tl-1;END IF;ELSEth:="0000";tl:="0000";a:='0';state:=yellow;END IF;END IF;WHEN yellow=>IF a='0' THENth:="0000";tl:="0100";a:='1';r<='0';y<='1';g<='0';ELSEIF NOT (th="0000" AND tl="0001") THENIF tl="0000" THENtl:="1001";th:=th-1;ELSEtl:=tl-1;END IF;ELSEth:="0000";tl:="0000";a:='0';state:=red;END IF;END IF;END CASE;END IF;timh<=th;timl<=tl;END PROCESS;END corner;显示控制模块:LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY CH41A ISPORT(sel : IN STD_LOGIC_VECTOR(2 downto 0); --位选信号d0 : IN STD_LOGIC_VECTOR(3 downto 0);d1 : IN STD_LOGIC_VECTOR(3 downto 0);d2 : IN STD_LOGIC_VECTOR(3 downto 0);d3 : IN STD_LOGIC_VECTOR(3 downto 0);q : OUT STD_LOGIC_VECTOR(3 downto 0) --输出);END CH41A;ARCHITECTURE CH41A_architecture OF CH41A ISBEGINPROCESS (sel)BEGINCASE sel ISWHEN "000"=>q<=d0;WHEN "001"=>q<=d1;WHEN "110"=>q<=d2;WHEN "111"=>q<=d3;WHEN OTHERS=>q<=d0;END CASE;END PROCESS;END CH41A_architecture;显示位选代码:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_UNSIGNED.all;entity SEL isport(clk:in std_logic; --时钟信号sel:out std_logic_vector(2 downto 0)); --选择信号end SEL;architecture SEL_arc of SEL issignal temp:std_logic_vector(2 downto 0);beginprocess(clk)beginif(clk'event and clk='1')thencase temp iswhen "000"=>temp<="001";when "001"=>temp<="110";when "110"=>temp<="111";when "111"=>temp<="000";when others=>temp<="000";end case;end if;sel<=temp;end process;end ;显示译码:LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY DISPA ISPORT(d : IN STD_LOGIC_VECTOR(3 downto 0);q0 : OUT STD_LOGIC;q1 : OUT STD_LOGIC;q2 : OUT STD_LOGIC;q3 : OUT STD_LOGIC;q4 : OUT STD_LOGIC;q5 : OUT STD_LOGIC;q6 : OUT STD_LOGIC);END DISPA;ARCHITECTURE DISPA_architecture OF DISPA IS BEGINPROCESS (d)V ARIABLE q:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINCASE d ISWHEN "0000"=>q:="";WHEN "0001"=>q:="";WHEN "0010"=>q:="";WHEN "0011"=>q:="";WHEN "0100"=>q:="";WHEN "0101"=>q:="";WHEN "0110"=>q:="";WHEN "0111"=>q:="";WHEN "1000"=>q:="";WHEN "1001"=>q:="";WHEN OTHERS=>q:="";END CASE;q0<=q(0);q1<=q(1);q2<=q(2);q3<=q(3);q4<=q(4);q5<=q(5);q6<=q(6);END PROCESS;END DISPA_architecture;其中显示模块又分为3个模块:1.BCD转数码管显示转化模块;2.片选通控制模块;3.显示控制模块。
交通灯信号控制器仿真设计
交通灯信号控制器仿真设计交通灯信号控制器是城市道路交通管理系统中的重要组成部分,通过控制交通信号灯的变换来指挥车辆和行人的通行,以确保交通有序、安全、高效。
为了提高交通信号控制器的性能和稳定性,通常会进行仿真设计来对其进行优化和测试。
本文将介绍交通灯信号控制器的仿真设计过程,并详细讨论其原理和实现方法。
一、交通灯信号控制器的原理在城市道路交通中,交通灯信号控制器需要根据路口的车流量和行人需求来确定每个方向的绿灯时间,以实现交通的高效通行。
同时,还需要考虑到不同时间段交通流量的变化,灵活地调整交通信号的变换时间,以达到最佳的交通控制效果。
二、交通灯信号控制器的仿真设计方法1.确定仿真目标:首先需要明确交通灯信号控制器的仿真目标,包括优化绿灯时间、减少等待时间、提高交通效率等指标。
根据这些目标,确定仿真模型的概要设计和实现方法。
2.建立仿真模型:根据交通灯信号控制器的原理和实际运行情况,建立相应的仿真模型。
这包括车辆和行人的动态模型、交通信号灯的工作模式、路口的拓扑结构等方面。
3.设定仿真参数:确定仿真所需的参数,包括车辆流量、行人需求、信号灯变换时间、路口长度等。
根据实际情况,设定合理的参数范围,以确保仿真结果的准确性。
4.编写仿真程序:利用仿真软件或编程语言,编写交通灯信号控制器的仿真程序。
根据建立的模型和设定的参数,模拟不同情况下的交通流量和信号控制效果,评估控制器的性能和稳定性。
5.优化设计方案:根据仿真结果,对交通灯信号控制器的设计方案进行优化和改进。
可以调整绿灯时间、增加延时器、改变信号灯的配时等方法,以提高交通控制效果。
6.验证仿真结果:对优化后的设计方案进行验证,检验其效果和可靠性。
通过对比仿真结果和实际数据,评估交通灯信号控制器的性能和稳定性。
三、交通灯信号控制器的仿真设计案例以市中心的交通路口为例,设计一个交通灯信号控制器的仿真方案。
该路口存在车辆和行人的交通需求,需要根据不同时段的交通流量来控制信号灯的变换,以确保交通有序通行。
交通灯控制器课程设计
交通灯控制器 课程设计一、课程目标知识目标:1. 理解交通灯控制器的基本原理,掌握其电路组成及功能。
2. 学习并掌握交通灯控制器中的基础电子元件及其工作原理。
3. 了解交通灯控制器的实际应用,理解其在交通安全中的作用。
技能目标:1. 能够运用所学知识设计简单的交通灯控制器电路。
2. 学会使用相关工具和仪器进行电路搭建和调试。
3. 提高分析问题和解决问题的能力,通过实践操作培养动手能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学的精神。
2. 增强学生的团队合作意识,培养沟通与协作能力。
3. 培养学生的安全意识,让他们明白遵守交通规则的重要性。
课程性质:本课程为电子技术实践课程,结合理论教学,注重培养学生的动手实践能力和创新思维。
学生特点:考虑到学生所在年级,已有一定的基础知识,具备初步的分析和解决问题的能力,对电子技术有一定的好奇心。
教学要求:在教学过程中,注重理论与实践相结合,鼓励学生积极参与讨论和实践活动,培养他们的自主学习能力和创新意识。
通过课程学习,使学生能够达到上述设定的具体学习成果。
二、教学内容1. 交通灯控制器原理- 红绿灯工作原理及切换逻辑- 时序控制器的基本概念- 电路图解读及元件功能分析2. 基础电子元件- 电阻、电容、二极管、三极管等元件的特性与应用- 传感器及其在交通灯控制器中的作用3. 交通灯控制器电路设计- 电路图的绘制与解读- 元件的选型与连接- 电路搭建及调试方法4. 实践操作- 搭建简单交通灯控制器电路- 编写控制程序,实现交通灯自动切换- 故障排查与电路优化5. 交通灯控制器应用案例- 实际交通场景中的交通灯控制器应用- 交通安全与节能减排的意义教学内容安排与进度:第一课时:交通灯控制器原理,红绿灯工作原理及切换逻辑第二课时:基础电子元件,电路图解读及元件功能分析第三课时:交通灯控制器电路设计,电路图的绘制与解读第四课时:实践操作,搭建简单交通灯控制器电路第五课时:编写控制程序,实现交通灯自动切换,故障排查与电路优化第六课时:交通灯控制器应用案例,讨论交通安全与节能减排的意义教材章节关联:本教学内容与教材中关于数字电路、电子元件、电路设计等相关章节紧密关联,通过本课程的学习,使学生能够将理论知识与实践相结合,提高综合运用能力。
交通灯控制器数电课程设计
交通灯控制器数电课程设计一、引言交通灯控制器是城市交通管理中的重要设备,用于控制道路上的交通信号灯的亮灭状态。
本文将基于数电课程设计一个简单的交通灯控制器电路,并介绍其原理和实现过程。
二、设计原理交通灯控制器的设计需要考虑以下几个方面的因素:1. 灯的亮灭状态:交通灯通常包括红灯、黄灯和绿灯,每种灯的亮灭状态需要根据交通规则进行控制。
2. 灯的切换时间:交通灯的切换时间需要合理设置,以保证交通流畅和安全。
3. 输入信号的获取:交通灯控制器需要根据外部输入信号来控制灯的切换,如道路上的车辆、行人等。
三、电路设计1. 时钟电路:交通灯控制器需要一个时钟信号来控制灯的切换时间。
可以通过使用555定时器构建一个稳定的时钟电路。
2. 计数器电路:交通灯控制器需要一个计数器来计算时间,并根据时间来控制灯的切换。
可以使用74LS90或74LS93等计数器芯片实现。
3. 逻辑门电路:交通灯控制器需要逻辑门电路来实现交通灯状态的控制和切换。
可以使用与门、或门、非门等逻辑门芯片来实现。
四、实现过程1. 时钟电路的设计:根据555定时器的工作原理,选择合适的电阻和电容值,构建一个稳定的时钟电路。
2. 计数器电路的设计:根据交通灯的切换时间要求,设置计数器的计数值,并将计数器与时钟电路连接,实现计数器的工作。
3. 逻辑门电路的设计:根据交通灯的状态要求,使用逻辑门芯片构建一个交通灯控制电路,实现交通灯的切换和控制。
4. 输入信号的获取:可以使用传感器等设备来获取道路上的车辆、行人等输入信号,并将其与交通灯控制器连接,实现灯的切换。
五、功能扩展1. 灯的数量扩展:可以根据实际需要,扩展交通灯的数量,如添加左转灯、右转灯等。
2. 信号优先级控制:可以根据不同道路的交通状况,设置交通灯的信号优先级,以提高交通效率。
3. 线路保护功能:可以在交通灯控制器中添加线路保护装置,以防止线路过载或短路等故障。
六、总结本文基于数电课程设计了一个简单的交通灯控制器电路,并介绍了其原理和实现过程。
plc控制交通灯毕业设计
plc控制交通灯毕业设计PLC控制交通灯毕业设计引言:交通灯是城市道路交通管理中不可或缺的一部分。
随着城市化进程的加速和车辆数量的不断增长,如何更有效地控制交通流量,提高交通效率成为了亟待解决的问题。
在这个背景下,本文将探讨PLC控制交通灯的毕业设计。
一、PLC技术的介绍PLC(Programmable Logic Controller)即可编程逻辑控制器,是一种专门用于工业自动化控制的计算机控制系统。
它具有可编程性、可扩展性和稳定性等优势,广泛应用于工业生产过程中的自动化控制。
二、交通灯控制系统的设计1. 系统组成交通灯控制系统主要由信号灯、传感器、PLC控制器和人机界面组成。
信号灯用于指示交通状态,传感器用于感知交通流量,PLC控制器负责根据传感器信号控制信号灯的状态,人机界面用于监控和调整系统参数。
2. 系统设计思路交通灯控制系统的设计需要考虑交通流量、道路情况和交通规则等因素。
首先,通过传感器感知交通流量,根据实时数据进行交通状态的判断。
其次,根据交通规则和道路情况,通过PLC控制器控制信号灯的状态,确保交通流畅和安全。
最后,通过人机界面对系统进行监控和调整,实现对交通灯控制系统的管理。
三、PLC控制交通灯的实现1. 信号灯控制逻辑PLC控制器通过编程实现交通灯的控制逻辑。
根据不同的交通流量和道路情况,可以设计不同的控制策略。
例如,在交通繁忙时,可以采用较短的绿灯时间和较长的红灯时间,以保证主干道的畅通。
而在交通相对较少时,可以适当延长绿灯时间,提高交通效率。
2. 传感器的选择和布置传感器的选择和布置对于交通灯控制系统的性能至关重要。
常用的传感器包括车辆检测器、红外线传感器等。
通过合理布置传感器,可以准确感知交通流量和行驶方向,为交通灯控制提供可靠的数据支持。
3. 人机界面的设计人机界面是交通灯控制系统的重要组成部分,它可以实现对系统的监控和调整。
人机界面应具备友好的操作界面和实时的数据显示,方便操作员对系统进行监控和参数调整,以及对系统运行状态进行分析和评估。
交通信号灯控制器设计
前言红绿交通灯自动控制系统在城市十字(或丁字)路口有着广泛的应用。
随着社会的进步,人们生活水平的提高,私家车数量会不断增加,对城市交通带来前所为有的压力。
道路建设也将随之发展,错综复杂的道路将不断增多。
为维持稳定的交通秩序,红绿灯自动控制系统将得到更为广泛的应用。
无论在大城市还是中小城市街道的十字路口,每条道路都各有一组红,黄,绿信号灯,用以指挥车辆和行人有序地通过十字路口。
红灯(R)亮表示该道路禁止通过;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通过。
交通灯控制器即交通信号定时控制系统就是用来自动控制十字路口三组红、黄、绿三色交通信号灯,指挥各种车辆和行人安全通信,以实现十字路口交通管理的自动化。
本设计应用基本数字电路知识,采用LED灯作红、绿、黄三交通灯,用数码管作同步倒计时显示,实现两方向通行时间相等的控制并配有倒计时。
目录第一章.系统概要 (3)1.1 设计思路 (3)1.2原理和总体设计方案 (4)1.2.1原理 (4)1.2.2总体设计方案构思 (4)1.3功能的划分及组成 (4)第二章.总的设计方案 (5)2.1设计任务及主要技术指标和要求 (5)2.2工作流程: (5)2.3工作流程图 (6)2.4方案设计 (6)2.4.1方案构思 (6)2.4.2方案的可行性论证 (6)第三章.单元电路设计 (7)3.1秒信号产生电路 (7)3.2主控电路(交通灯信号状态控制器设计) (8)3.2.1状态指令和编码 (8)3.2.2求交通灯控制函数及电路 (9)3.3定时译码显示系统的设计 (11)3.3.1定时电路 (11)3.3.2计数译码显示电路 (12)第四章元器件选择及介绍 (13)第五章.电路调试设计总结 (17)附录1:完整的设计电路图附录2:元器件清单参考文献交通信号灯控制器设计摘要:分析交通信号灯控制系统应用要求及设计原理,设计出能够满足实际应用要求的交通信号灯控制器。
通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。
FPGA实验报告-交通灯控制器设计
FPGA实验报告--交通灯控制器设计院系:电子与信息工程系专业:通信工程班级:姓名:学号:指导教师:一、实验任务 1、任务名称:交通灯控制器的设计2、设计容与要求:① 设计一个十字路口交通信号灯的定时控制电路。
要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。
② 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。
要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。
每次变换运行车道前绿灯闪烁,持续时间为5秒。
即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。
同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。
③ 对红、绿灯的运行时间要能比较方便的进行重新设置。
④ 对器件进行在系统编程和实验验证。
⑤ 用VHDL 语言对设计进行描述,设计一个测试方案,通过ISE 对设计进行仿真验证。
并能够下载到实验板上调试成功。
6 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。
2、补充功能与要求:1.在主干道和支干道添加左转向灯;2.各灯亮的时间及最后闪烁时间可调节;3.紧急路况时,主干道和支干道都为红灯。
二、实验环境1、ISE 软件一套;2、PC 机一台。
三、设计思路1、根据题目要求,知道整个交通灯的运行过程是周期的,所以可以设计一个总的计数器,满周期则清零;2、将灯闪烁时间、主干道绿灯亮的时间、主干道转向灯亮的时间、支干道绿灯亮的时间、支干道转向灯亮的时间分别记为变量t0、t1、t2、t3、t4,通过调整它们,实现调节各灯亮的时间;3、将所有需要显示的量由同一个信号表示并最终输出、显示在LCD上。
四、系统设计a)系统框图b)状态转换说明:主干道和支干道永远有且只有一个灯亮,紧急路况时两边红灯亮,其余时候有且只有一个红灯亮;主干道绿灯、主干道转向灯亮、支干道绿灯、支干道转向灯依次亮,在最后t0S(默认为5S)闪烁。
基于单片机的交通灯控制器的设计及实现
基于单片机的交通灯控制器的设计及实现交通灯控制器是一个广泛应用于城市交通系统中的设备,它用于控制交通信号灯的工作,确保交通流畅且安全。
在本篇文章中,将介绍基于单片机的交通灯控制器的设计与实现。
首先,交通灯控制器的设计需要考虑以下几个方面:1.硬件设计:交通灯控制器的硬件设计主要包括选择合适的单片机、电源电路、输入输出接口以及信号灯的电路设计。
合适的单片机应具有足够的输入输出引脚以及处理能力,常用的有51系列和STM32系列单片机。
电源电路需要稳定的直流电源供应,以确保交通灯的正常工作。
2.软件设计:交通灯控制器的软件设计包括控制算法的设计与编程。
控制算法需要根据交通流量和交通情况合理调配信号灯的时间,以实现交通流量的最优化。
通过编程,将控制算法转化为单片机可以执行的指令,以控制信号灯的切换。
3.安全设计:交通灯控制器的安全设计需要考虑各种异常情况的处理,如断电恢复、故障检测等。
在断电后,交通灯控制器应能够自动恢复到正常工作状态。
同时,应设计故障检测机制,及时发现并报警,以保证交通灯的正常工作。
实现基于单片机的交通灯控制器的步骤如下:1.确定交通路口的情况及需求:根据实际情况,确定交通路口的车流量、行人流量等因素,以确定交通灯控制器的设计方案。
2.硬件设计与搭建:选择合适的单片机,设计电源电路、输入输出接口以及信号灯的电路。
根据设计方案,搭建出交通灯控制器的硬件平台。
3.软件开发:编写控制算法的程序,并将其转化为单片机可以执行的指令。
在程序中,根据交通流量和交通情况,合理调配信号灯的时间,以实现交通流量的最优化。
4.测试与调试:将程序烧录到单片机中,并连接相关硬件,进行测试与调试。
通过模拟不同情况下的交通流量,验证交通灯控制器的工作效果。
5.安全设计与优化:加入安全设计机制,处理异常情况,并对交通灯控制器进行优化。
根据实际使用过程中的反馈,对控制算法进行调整,以提升交通流量控制的效果。
总结起来,基于单片机的交通灯控制器的设计与实现包括硬件设计与搭建、软件开发、测试与调试以及安全设计与优化等步骤。
十字路口交通灯控制器的设计(20页)
较易交通灯控制器的实现
• OO • O O
o 妓0,
o 支干軋
j*r*.
ooe e
OQ*
•0
o
主千軋
廉打亮+
0 O«
o • OO O
e
輔b
O O
支
O
買灯冕
ooq
扶
•
王干i
0
0 霣汀見*
OOO
为/让大家更易理解,我 们 先来看看十字路口简单 控 制器显示。右图为两交 通 灯的运行状态,东西、 南 北方向的不同状态组合
显示模块的VHOL程序,和黄 灯闪烁。
复杂十字路口交通灯控制器要比简单交通灯控制 器增加 一些功能,如倒计时时间显示,左转弯
(左拐)、指示灯闪烁及特殊紧急情况的处理等。 下面 以下面的十字路口交通灯系统为例,来说明 上述功能的 实现:
交通灯运行图表情况
东西方向 南北方向
S(0) S(l) S(2) S(3) S(4) S(5
LVTTL LVTTL
p roc e ss (clk,i:e
ieee.std_logic_unsigned.all;
set) begin
use woik.bintoBCD.all;
it ueset二'I' then
cnt<=(others=>'0);
entity jtd2 is
elsit clk'event and clk=’r
緑 s 黄左 黄 红 黄 灯 灯拐 灯 灯 灯 4 I灯 1 1 1
5 51 5 4 5 S5 s 0 s 5
(
s \) s (9 \17
z
)o
6 s( s( s
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子技术课程设计题目:交通灯控制器的设计院系:控制科学与工程系班级:测控1001班姓名:学号:指导教师:龚军报告时间:2013.3.271.绪论1.1 课题背景在公路交通运输作用愈来愈得以凸显的今天,公路交通安全也越来越得人们的重视。
红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。
1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行,这是世界上最早的交通信号灯。
1868 年,英国机械工程师纳伊特在伦敦Westminster 的议会大厦前的广场上,安装了世界上最早的煤气红绿灯,它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。
1869 年1 月2 日,煤气灯爆炸,使警察受伤,遂被取消。
电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914 年始安装于纽约市5 号大街的一座高塔上。
红灯亮表示“停止”,绿灯亮表示“通行”。
1918 年,又出现了带控制的红绿灯和红外线红绿灯。
带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。
红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路,红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。
从1868 年英国伦敦首次使用燃汽色灯信号以来,城市交通信号机由手动到自动,交通信号由固定周期到可变周期,系统控制方式由点控到面控,从无车辆检测器到有车辆检测器,经历了近百年的历史。
到1963 年加拿大Toronto 市建立了一套使用IBM650 型计算的集中协调感应控制信号系统,从而标志着城市道路交通信号系统的发展进入了一个新的阶段。
之后,美国、英国、德国、日本、澳大利亚等多家相继建成数字电子计算机区域交通控制系统,这种系统一般还配备交通监视系统组成交通管制中心。
到80 年代初,全世界建有交通管制中心的城市有300 多个,代表了未来交通控制的发展方向。
1.2 课题研究的目的和意义随着城市机动车辆的不断增加,许多大城市出现了交通超负荷运行的情况。
因此,自80 年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。
然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。
而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。
所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路。
缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。
目前,国内大部分中小城市仍采用传统的交通灯控制模式,但随着城市的不断发展,基于车流量的智能交通灯控制系统必将受到广大人民的青睐。
传统的交通信号灯,通常采用定时分配方式控制,主要存在三方面的缺陷:(1)车道放行车辆时,十字路口经常出现不同相位上车辆放行时问相同,车辆多的一方容易出现车辆堆积,造成下一路口的交通阻塞;;(2)当某相位上无车时,恰好是该相位上的车辆通行时间,则在这段时间内,就出现了交通指挥盲点;(3)当一路口车流量很大时,不能够自动延长口的绿灯时间,导致在一个周期内此路口的车辆不能完全通过。
在实现正常交通灯控制功能的基础上,本课题研究的一个方向便是智能交通,着眼于传统交通灯控制系统的缺陷,希望能够缓解这些问题。
1.3 国内外研究概况在国内普遍使用的交通灯还比较落后,但处在研究阶段的项目比较多,我个人感觉交通灯的设计不仅仅是一个技术问题,很大程度上包含了在西方发达国家,交通控制系统基本上完成了由传统的交通控制系统向智能交通控制系统ITS 的转变,而在我国,智能交通系统则刚刚处于起步阶段。
对于传统的交通控制系统而言,对红绿灯一般采用定时控制,无法对实际的交通流进行识别优化,以至于不能适应交通量的不确定性和随机性的原因,往往造成交通资源的浪费和道路的梗阻。
而智能交通控制系统则在不产生大的硬件改动的情况下有效的提高效率。
ITS(Intelligent Transport systems)这一国际性术语于1994 年被正式认定。
在此之前,美国称这类技术或相关研究项目为“智能车辆道路系统(IVHS)”(Intelligent Vehicle Highway System)。
日本将这类技术称为UTMS、VICS 等,欧盟则称之为“道路交通信息技术(RTI)”。
智能交通系统强调的是系统性、信息交流的交互性以及服务的广泛性,其核心技术是电子技术、信息技术、通信技术、交通工程和系统工程。
智能交通系统ITS 是在较完善的道路设施基础上,将先进的电子技术、信息技术、传感器技术和系统工程技术集成运用于地面交通管理所建立的一种实时、准确、高效、大范围、全方位发挥作用的交通运输管理系统。
在国内,受客观条件的制约,ITS 起步比较晚,在20 世纪90 年代初,我国的相关学者开始意识到研究和开发ITS 的重要性。
到90 年代中期,由于受到国外ITS研发的影响,政府部门也开始重视对ITS 的研究,随后,又得到中央部门和部分地方政府的支持。
1999 年,我国成立了全国智能交通系统(ITS)协调指导小组及办公室,同年,又成立了全国智能交通运输系统(ITS)专家咨询委员会,其中,同济大学、清华大学、北方交通大学、北京航空航天大学、吉林工业大学、东南大学等高校的有关专家为咨询委员,并启动了国家“九五”科技攻关课题和国家“十五”科技攻关课题。
目前,在对一些大中型城市引入的国外ITS 进行研究的基础上已经逐渐开始摸索开发设计适合自己国情的ITS 系统。
2.任务概述2.1 设计内容与基本要求①设计一个十字路口交通信号灯的控制电路。
要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,且将运行时间用数码管显示出来。
②绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。
要求主干道每次通行时间为Tx秒,支干道每次通行时间为Ty秒。
每次变换运行车道前绿灯闪烁,持续时间为5秒。
即车道要由X 转换为Y 时,X 在通行时间只剩下5秒钟时,绿灯闪烁显示,Y 仍为红灯。
③ 可以对X ,Y 车道上交通灯运行的时间进行重新设置,20≦Tx ≦99 ,10≦Ty ≦39④ 对器件进行在系统编程和实验验证。
⑤ 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。
3.系统设计3.1 设计思路关键词: 主/支干道红绿灯 时间设置 绿灯闪烁 倒计时根据交通灯控制器要实现的功能,主控制模块考虑使用几个并行执行的always 模块来分别控制A 、B 两个方向的4盏灯的变化。
这些always 模块使用同一个时钟信号。
四个灯按如下顺序点亮,并且循环往复:每种灯亮的时间采用一个减法计数器进行计数,计数器用同步预置法设计,这 样只需改变预置数据,就能改变计数器的模;同时可以利用开关编码来实时设置各绿灯的亮灯时间,方便手动改变X 、Y 两条路线的通行时间。
使用DE0 开发板,必须考虑到可用资源的有限性。
DE0开发板上有10个拨动开关,4个数码管,10个发光二极管。
为了便于显示亮灯时间,计数器的输出均采用BCD 码,显示由4个数码管来完成,X 方向和Y 方向各用两个数码管,置数的时间在相应的数码管上显示。
3.2 系统框图与说明主干道绿灯亮,支干道红灯亮主干道绿灯在最后5秒闪烁,支干道红灯亮支干道绿灯亮,主干道红灯亮支干道绿灯在最后5秒闪烁,主干道红灯亮时钟信号控制单元定时器手动设置时间译码器数码管倒计时显示支干道信号 灯主干道信号 灯3.3 状态转换表LA、LB 分别表示主干道直行绿灯和红灯LC、LD 分别表示支干道直行绿灯和红灯此交通灯系统工作主要有5个时间间隔,分别为:1.主干道直行绿灯时间,可手动设置2.主干道直行红灯时间,与3(支干道直行绿灯时间)相同3.支干道直行绿灯时间,可手动设置4.支干道直行红灯时间,与1(主干道直行绿灯时间)相同5.主/支干道绿灯闪烁时间,时间为5秒由于结合实际的十字路口交通灯的情况,将其简化为4个状态:状态1:主干道亮直行绿灯,支干道亮直行红灯,两者时间相同状态2:主干道直行绿灯最后5秒闪烁,支干道亮直行红灯状态3:支干道亮直行绿灯,主干道亮直行红灯,两者时间相同状态4:支干道直行绿灯最后5秒闪烁,主干道亮直行红灯3.4 输入输出设计3.4.1 开关输入本设计系统共需要10个开关,其中sw0~sw3用来对主支干道的时间进行设置,sw4~sw7用来选择需要设置时间的数码管,sw8为复位开关,sw9为清零开关sw0:用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0sw1: 用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0sw2:用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0sw3:用于对主支干道的时间进行设置,二进制编码,拨上去表示1,拨下来表示0sw4: 用来选择需要设置时间的数码管,拨上去表示对支干道通行时间的个位进行时间设置sw5: 用来选择需要设置时间的数码管,拨上去表示对支干道通行时间的十位进行时间设置sw6:用来选择需要设置时间的数码管,拨上去表示对主干道通行时间的个位进行时间设置sw7:用来选择需要设置时间的数码管,拨上去表示对主干道通行时间的十位进行时间设置sw8:复位开关,拨上去可以选择数码管对时间进行设置,拨下来开始通行时间的倒计时sw9:清零开关,设置时间时,拨上去对所设置的时间清零,重新设置当然,也少不了时钟信号的输入,这里不用外接信号源,利用DE0 开发板上自带的信号发生器就好。
原时钟信号产生的是50MHz 的信号,输入到各个模块之前先进行一步分频,就可以很好的使用了。
3.4.2 输出设计分别用4个LED灯来表示X、Y干道的红绿灯,用2个LED灯来表示操作错误时的报错提示和设置时间超出规定范围的提示。
用4个数码管来计数,第一对儿显示主干道的绿灯和支干道的红灯时间,第二对儿显示支干道的绿灯和主干道的红灯时间。
时间设置时,在相应的数码管上进行。
3.4.3 引脚配置与说明将各个引脚配置表列出如下表,同时给出引脚配置图如下:引脚配置表如下:3.5 系统模块仿真进行系统仿真其实是和写程序代码同步进行的,在每编写一个模块后,都要进行编译仿真,这样能及时发现问题,避免的最后出现一堆问题不好排除错误,提高检验的效率。
这里对关键模块进行仿真,以保证每一步设计的可靠性。
至于总体的仿真,个人认为没有必要:一方面总体仿真操作太多,频繁改变信号不科学;另一方面,下载到开发板上看功能是否正常更为直接、方便,故总体验证采用开发板而不是仿真。