数字电路课程设计——数字闹钟2012-7解析

合集下载

数字电路课程设计--数字钟

数字电路课程设计--数字钟

引言数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1、设计任务与要求设计一个多功能的数字钟。

要求如下:1)时间以24小时为一个周期;2)显示时、分、秒;3)具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;4)计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2、方案的论证与选择数字钟实际上是一个对1HZ频率进行计数的计数电路。

由于计数的起始时间不可能与标准时间一致,故需要在电路时行加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡电路构成数字钟,我这里采用的是555定时器和RC电路构成振荡器的方案。

1) 振荡器电路:一般来说,振荡器的频率越高,计时的精度越高。

在本次课程设计中,采用的是集成定时器555与RC 组成的多谐振荡器,经过调整输出1000HZ 脉冲。

2) 分频器电路:分频器电路将1000HZ 的方波信号经1000次分频后得到1HZ 的方波信号供秒计数器进行计数。

分频器实际上也是计数器。

3) 时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器为60进制的计数器,时个位和时十位计数器为24进制的计数器。

4) 译码显示电路:译码显示电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供做够的工作电流,采用的是自带译码功能的数码管。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课程设计-数字电子钟PPT课件

数电课程设计-数字电子钟PPT课件
5
3 设计方案的选择与论证
数字电子钟系统框图如下:
图3.1 数 字 电 子 钟 系 统 框 图
6
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
振荡器是数字钟的核心。振荡器的稳定度及频率的 精确度决定了数字钟计时的准确程度。
由集成逻辑门与RC组成的时钟源振荡器或由集成 电路定时器555与RC组成的多谐振荡器作为时间 标准信号源。
本实验中采用4040来构成分频电路。CD4040计数 为最高为12级2进制计数器,可以将32767HZ的信 号先分频为8HZ,再分为1HZ的信号。如图4.1所示 ,可以直接实现振荡和分频的功能。
16
4 电路设计计算与分析
4.2) 时、分、秒计数器
数字钟的计数电路用两个六十进制计数电路和24进 制计数电路实现的。
数字电子钟设计目的数字电子钟设计目的设计任务和要求设计任务和要求设计方案的选择与论证设计方案的选择与论证电路设计计算与分析电路设计计算与分析元器件明细表元器件明细表11掌握数字钟的设计掌握数字钟的设计22熟悉集成电路的使用方法熟悉集成电路的使用方法11显示显示时时分分秒22可以可以2424小时制或小时制或1212小时制小时制
本设计校时电路是将各个位上的使能端引出接一个 单刀双掷开关,一端(1端)接低位的进位信号,另 一端(2端)接校时电路。校正某位上的时间时,可 以将相应位的开关接到2端,通过拨动校时电路就能 实现校时功能。
12
3 设计方案的选择与论证
3.5) 整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出 现整点前数秒内,数字钟会自动报时,以示提醒。
J2是时校正开关。不校正时,J2开关是连接上面的 ,即连接正常计数。当校正时位时,首先截断正常的 计数通路,然后再进行人工出触发计数加到需要校正 的计数单元的输入端,校正好后,再转入正常计时状 态即可。

数电课程设计 集成数字式闹钟

数电课程设计  集成数字式闹钟

《电子技术》课程设计报告《数字钟的设计》专业:班级:姓名:学号:指导教师:完成日期:年月日第页共页设计任务书一、设计题目:“数字钟的设计”二、技术要求:1.设计一台能直接显示“时”、“分”、“秒”的数字钟,要求24小时为一计时周期。

2.当电路发生走时误差时,要求电路具有校时功能。

三、。

基本工作原理:数字钟是一个将“时”、“分”、“秒”显示的计时装置。

它的计时周期为24小时,显示满时刻为23时59分59秒,另外应有校时功能。

整个电路采用异步清零的方法来进行计数及清零,使得秒显示及分钟显示以60为一周期,时显示以24为一周期。

校时电路由RS触发器构成,选择1HZ脉冲进行校时。

四、元件清单;1、74160 6片2、74LS003、74LS084、74LS045、74LS326、555定时器7、电阻10kΩ8、电容0.01μF 47μF9、电源,双掷开关及导线若干五、各部分组成及结构:1、振荡电路1HZ脉冲输出2、分钟计时器分进位信号秒进位/校时信号采用异步清零,分钟的十位变成6时,被立即清零。

3、时计时器分进位/校时信号采用异步清零,当时计数器计数到24时被清零,而24这个状态不显示。

4、校时电路分进位/校时信号秒进位/校时信号1HZ脉冲秒进位信号分进位信号1HZ脉冲时校时电路分钟校时电路如图所示状态时,校时电路不工作。

当分钟校准时,开关2被掷到另一端;时校准时,开关3被掷到另一端。

当数字钟仅仅只有分钟走误时,分钟的校准不会向时显示进位。

在这个过程中,利用一个或门将分钟可能产生的进位信号屏蔽掉,以达到仅仅分钟校准的目的。

六、实验内容:画出整机电路图连接电路并进行调试。

七、体会:实际设计、调试和理论有很大的差异,简简单单的理论应用到实践的过程中会遇到各种各样的困难。

例如,刚设计的时候没有进位信号,十位的变化比各位慢一个或半个脉冲等等。

通过看书上的知识,慢慢完善电路,以达到准确计时的目的。

另外,电路连线多,连线时应细心、耐心。

数字电路课程设计--数字时钟

数字电路课程设计--数字时钟

《数字时钟》技术报告概要数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒。

一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。

由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。

本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。

通过采用各种集成数字芯片搭建电路来实现相应的功能。

具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。

该电路具有计时和校时的功能。

在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。

实验证明该设计电路基本上能够符合设计要求!一、系统结构。

(1)功能。

此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。

系统方框图1(3)系统组成。

1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。

2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。

3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。

4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5.显示模块:由7段数码管来起到显示作用,通过接受CD4511的信号。

本次选用的是共阴型的CD4511。

二、各部分电路原理。

1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。

最终如图3接口就输出矩形波,而形成的秒脉冲。

数字电路课程设计方案数字电子钟

数字电路课程设计方案数字电子钟

多功能数字时钟设计报告目录一、设计任务和要求 (2)二、设计的方案的选择与论证 (2)(1) 总体电路分析 (2)(2) 仿真分析 (3)(3) 仿真说明 (3)三、电路设计计算与分析 (4)(1)小时计时电路 (4)(2)分钟计时电路 (5)(3)秒钟计时电路 (7)(4)校时选择电路 (8)(5)整点译码电路 (9)(6)脉冲产生电路 (12)四、总结及心得 (13)五、附录 (15)(1)元器件明细表 (15)(2)附图 (17)一、设计任务和要求实现24小时的时钟显示、校准、整点报时等功能。

具体要求:(1)显示功能:具有“时”、“分”、“秒”的数字显示(“时”从0~23,分0~59,秒0~59)。

(2)校时功能:当刚接通电源或数字时钟有偏差时,可以通过手动的方式去校时。

(3)整点报时:当时钟计时到整点时,能进行整点报时。

二、设计的方案的选择与论证(1)总体电路分析总体电路设计是将单元电路模块小时计时电路、分钟计时电路、秒计时电路、校时选择电路、整点译码电路、等模块连接在一起,外接输入开关和输出显示数码管构成。

总体结构图如下:—∣(2)仿真分析单击运行按钮,可观测仿真结果。

电路能完成显示计时、校时、整点报时以及闹铃等功能。

○1计时功能。

当开关S1、S2都处于断开状态时,数字时钟工作于计时状态。

此时,电路中的秒计时电路、分计时电路以及小时计时电路分别对秒脉冲、分脉冲和小时脉冲进行计数。

计数结果经数码管显示计时时间值。

○2校时功能。

当开关S1瞬时闭合时对小时校准、当S2瞬时闭合时对分校准○3整点报时功能。

整点译码电路通过识别整点时间,产生整点报时信号。

当前时间为零点时,会产生整点报时,此时灯泡会亮,(3)仿真说明。

○1因版面有限,总设计图并未纳入本设计报告中,而是在此之外通过PROTELL画图,用A3纸另外打印。

这样看图较为清晰。

○2采用总线方式,使信号线连线简介、美观,电路可持续性强。

三、电路设计计算与分析(1)小时计时电路。

数字电路课程设计课件(数字钟)

数字电路课程设计课件(数字钟)

三、主体电路的设计
设计原则
尽量选用同类型的器件,即所有功能部件都采用TTL或 CMOS集成电路。 整个系统所用的器件种类应尽可能少。
1、振荡器的设计
振荡器是数字钟的核心。振荡器的稳定度及频率的精确 度决定了数字钟计时的准确程度,通常选用石英晶体构 成振荡器电路。一般来说,振荡器的频率越高,计时精 度越高。
12 11 Q0 Q3 74LS90(1) CPA CPB R0(1) R9(1) 14 1 2 6
Q0 Q3 74LS90(3) CPA CPB R0(1) R9(1)
四、设计任务及要求
1. 功能要求
基本功能 以数字形式显示时、分、秒的时间,为节省器件, 其中小时位用发光二极管指示。要求手动快校时、快校分。
三片74LS90构成的1000分频器
三、主体电路的设计
数字电路课程设计
14 1 2 3 6 7 INA INB R01 R02 R91 R92
QA QB QC QD
12 9 8 11
U2 74LS90N U3 74LS90N
QA QB QC QD
12 9 8 11
1HZ
三、主体电路的设计
3、时分秒计数器的设计
数字电路课程设计
四、设计任务及要求
3. 设计报告参考格式
目 录 一.设计任务(设计课题、功能要求) 二.设计框图及整机概述 三.各单元电路的设计方案及原理说明 四.调试过程及结果分析 五.设计、安装及调试中的体会 六.对本次课程设计的意见及建议 七.参考文献 八.附录(包括:整机逻辑电路图和元器件清单) (目录供参考)
g
a
g
a
BS2026
g
a
g
a
g

数字电子技术课程设计之数字电子钟

数字电子技术课程设计之数字电子钟
智能家居领域
工业自动化领域
医疗健康领域
感谢您的观看
THANKS
接口设计
采用并行或串行接口与主控制器连接,实现数据的快速传输和实时更新显示内容。
软件编程实现方法论述
初始化模块:在程序开始时,需要对相关硬件进行初始化,如设置IO口输入输出模式、配置定时器中断等。同时,也需要对软件相关变量进行初始化,如清零计数器、设置初始时间等。
分模块调试:在编写程序时,可以将整个程序划分为多个模块进行分别调试。通过逐个模块进行测试和验证,可以更容易地定位和解决问题。
实物制作与测试环节展示
推荐使用Altium Designer或Eagle等专业PCB设计软件,确保设计精度和效率。
选择合适的PCB设计软件
根据实际需求设定信号层、电源层和地层等,注意层间距离和走线规则。
设定合理的板层结构
遵循“先大后小,先难后易”的布局原则,优先布置核心元器件和关键信号线;布线时尽量缩短走线长度,减少交叉和环路。
创新意识培养
数字电子钟可作为家居装饰的一部分,增添现代感和科技感。
家居装饰
在车站、广场等公共场所设置数字电子钟,方便人们获取准确时间信息。
公共场所
为盲人、视障人士等设计具有语音报时功能的数字电子钟,满足特殊需求。
特殊应用
数字电子钟基本原理与组成
通过振荡器产生稳定的脉冲信号,作为数字电子钟的时钟源。
布局布线优化
结果分析
记录测试结果,与设计指标进行对比分析;针对存在的问题进行排查和改进,优化设计方案。
测试方法
使用示波器、万用表等测试工具,按照设计指标对数字电子钟的各项功能进行测试,包括时间显示、定时功能、闹钟功能等。
调试技巧
在测试过程中注意观察和记录异常现象,结合电路原理和实际经验进行逐步排查;对于复杂问题可采用分模块调试的方法,提高调试效率。

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。

•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。

设计目标•开发一个功能完备、性能稳定的数字时钟电路。

•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。

设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。

2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。

3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。

4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。

5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。

6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。

7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。

设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。

•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。

•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。

•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。

结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。

•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。

•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。

数字电路课程设计—数字电子钟(常用版)

数字电路课程设计—数字电子钟(常用版)

数字电路课程设计—数字电子钟(常用版)(可以直接使用,可编辑完整版资料,欢迎下载)数字电路课程设计—数字电子钟课题任务:1.由晶振电路产生1HZ标准秒信号2.秒、分为六十进制计数器。

3.时为二十四进制计数器。

4.准确计时,以数字形式显示时、分、秒。

5.具有整点报时功能,整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

参考器件:CD4060、74LS161、74LS248J、晶振、开关、喇叭、阻容元件及门电路等。

设计要求:2、进行总体方案设计与论证,画框图;3、进行单元电路的设计;4、元器件选择与参数计算;5、用四号图纸绘制原理图;6、撰写设计说明书,字数不得少于2500字;参考文献。

数字电路的课程设计,那学期开学我就一直期待了,我喜欢电子制作,更何况这与学习牵上了,就像小时候等待放假过年一样,终于等到了它的到来。

我欣喜若狂,打算两周之内要把实物做出来。

第一周开始找资料设计电路图,74LS161为常用的4位同步二进制加计数器,并且还具有并行数据的同步预置功能。

多个74LS161可以实现无限进制计数,由74LS161组成的60进制及24进制制作的数字电子钟必须另加数码管译码器。

由于我以前用过CD40110这块芯片,所以很快就想到了它,40110是十进制加减计数/译码/锁存/驱动集成一体的CMOS 芯片,输出电流驱动能力大,可以直接接数码管显示。

两者比较,同样的功能74LS161使用的芯片就多于40110。

CD40110逻辑功能如下:第一周里,我把自己设计的电路经过一些单元模块的调试,都成功了,马上购买元件,不得不用了快递,元件邮递过来的期间开始画PCB板,并制作电路板,元件到时就可以安装了。

装好后电路存在许多问题,我两天两夜没有睡觉,就呆在实验室调试,就剩2天了,一些小问题仍没有解决,但必须得开始写论文了。

我又到图书馆查找芯片的具体资料,论文根据自己的制作一个一个字往上打的,总共附有20张图片和框图,都是自己画的。

数字电路课程设计:数字钟

数字电路课程设计:数字钟

课程设计报告书班级:课程名称:学号:姓名:指导教师:题目:数字钟设计摘要设计简述数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟。

而且通过数字电子钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字电子钟电路包括组合逻辑电路和时序电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

数字电子钟有下几部分组成:石英晶体振荡器、分频器、秒脉冲发生器、校正电路、60进制的秒、分计时器和24进制计时计数器以及秒、分、时的译码显示部分等。

振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以设定“时”、“分”、“秒”、“星期”的计数器,分别为60进制,60进制,24进制和7进制计数器,并输出进位信号。

译码显示:将“时”“分”“秒”显示出来。

将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。

另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

关键词数字钟振荡计数报时目录一实验目的 (3)二设计分析 (3)三实验内容 (3)1数字电子钟的组成和工作原理 (3)1.1数字钟的构成 (3)1.2原理分析 (3)1.3数字点钟的基本逻辑功能框图 (3)2.数字钟的电路设计 (4)2.1 秒信号发生器的设计 (4)2.1.1 555构成的多谐振荡器 (4)2.2时间计数电路的设计 (4)2.2.1 “分秒”六十进制计数器 (4)2.2.2 二十四进制计数器 (4)2.2.3 “星期”七进制计数器 (5)2.3正点报时电路的设计 (6)四电路的调试过程 (6)五总结 (6)附录 (7)一实验目的(1)掌握BCD码十进制、六十进制、二十四进制计数器的设计方法。

数字电子技术课程设计报告(数字钟的设计)

数字电子技术课程设计报告(数字钟的设计)

数字电子技术课程设计报告(数字钟的设计)数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真与调试;④PCB文件生成与打印输出。

(3)制作要求自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。

数字电路课程设计--数字闹钟计时器

数字电路课程设计--数字闹钟计时器

数字电路课程设计姓名:李志波专业:电子信息工程年级:2012级数字闹钟计时器一.实验目的1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。

2.强化巩固专业课课程内容,学会对电路的系统分析。

3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。

二.实验原理1.显示译码器74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。

它的引脚图及功能如下:(a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯输入”RBI必须开路或者为高电平。

(b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。

(c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。

2.数码显示器LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。

其内部是八段发光二极管的负极连在一起的电路。

当在a.b.c.d.e.f.g.DP加上正向电压时,各段二极管就会被点亮,例如,利用74LS48ABCD 四个引脚接上一级输出LT,RBO/BI ,RBI 接高电平,或悬空。

3,十进制集成计数电路74LS9074LS90时异步二-五-十进制计数器。

其管脚图如图U174LS90DQ A 12Q B 9Q D 11Q C 8I N B1R 916R 927R 012I N A 14R 023G N D10V C C 5它的内部由两个计数电路组成,一个为二进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。

它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。

如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。

数电课设-数字式闹钟

数电课设-数字式闹钟

课程设计任务书数字式闹钟第一部分设计任务1.1设计任务(1) 时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。

(2) 具有快速校准时、分、秒的功能。

(3) 能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。

1.2设计指标(1).有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。

时十位显示时个位显示分十位显示(2). 计时以24小时为周期。

(23:59→00:00)(3).具有较时电路,可进行分、时较对。

(4).走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。

第二部分设计方案2.1总体设计方案说明系统组成:显示电路:译码器数码管秒信号发生器:由LM555构成多谐振荡器走时电路:计数器和与非门组成校时电路:秒信号调节闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成2.2模块结构与方框图1.秒钟与分钟显示电路用两片74290组成60进制计数器,输入计数脉冲CP加在CLKA’端,把QA与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数,个位接成十进制形式,十位接成六进制形式,当R0(1)=RO(2)=1且R9(1)*R9(2)=0时74290的输出被直接置0,当R0(1)*RO(2)=0和R9(1)*R9(2)=0时开始计数。

电路图如下:连接成总电路时,分钟的输入信号由秒钟计数器提供。

2.时钟显示电路:同样用2片74290组成24进制,当十位的为2,个位的为4时通过反馈电端,控制个位和十位同时清零,这样就可以按23翻0规律记数了。

电路图如下:连接成总电路时,时钟输入信号由分钟计数器提供。

3.调时分秒可接几个开关来控制个位,十位的信号输入,如开关1、2、space。

如图示:4.闹钟分设置与上面相差一个输入信号,如下图:时设置的个位为十进制,十位为三进制,当十位为2时,通过反馈控制端,个位不能大于等于4,即小时十位为2时,个位加到4时十位和个位马上全部置0,从而让小时的设置只能最大设为23。

数字电路课程设计——数字闹钟2012-7解析

数字电路课程设计——数字闹钟2012-7解析

1
RF 22M
JT
32768Hz C1 3/22pF
1 vo
R 150k
C2 20pF
14
555定时器实现电路
+5V R1 2k
如果精度要求 不高也可以采用由
RP
84
10k 7
集成逻辑门与RC组 成的时钟源振荡器
R2 5.1k
6 555555 3 vo 或由集成电路定时
2
器515m5s 与RC组成的
➢ 振荡器的设计
➢ 分频器的设计
➢ 时、分、秒计数器的设计
➢ 译码显示电路设计
➢ 校时电路的设计
➢ 定时控制电路的设计
➢ 正点报时电路的设计
➢ 报整点时数电路的设计
➢ 触摸报时电路的设计
39
5.校时电路的设计
对校时电路的要求是: 在小时校正时不影响分和秒的正常计数; 在分校正时不影响秒和小时的正常计数。
“闹时”;或对某装置的电源进行接通或断开“控 制”。 闹时要求时间准确,即信号的开始时刻与持续时间必 须满足规定的要求。
43
6. 定时控制电路的设计
例 要求上午7时59分发出闹时信号,持续时间 为1分钟。 解 7时59分对应数字钟的时个位计数器的状态 为(Q3Q 2Q 1Q 0)H1=0111,分十位计数器的状 态为(Q3Q2Q1Q0)M2=0101,分个位计数器的状 态为(Q3Q2Q1Q0)M1=1001。若将上述计数器输 出为“1”的所有输出端经过与门电路去控制 音响电路,可以使音响电路正好在7点59分响, 持续1分钟后(即8点时)停响。
27
用2片74LS90组成24进制计数器
QD QC QB QA
S9(1) 74LS90
S9(2) CPB CPA
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
分频器的功能主要有两个: 一是产生标准秒脉冲信号;
二是提供功能扩展电路所需要的信号,如仿电台报 时用的1kHz的高音频信号和500Hz的低音频信号 等。
可选用芯片很多,例如: ✓3片中规模集成电路计数器74LS90,74LS161等; ✓14位二进制计数器,如CD4020、CD4060、MC14020、 MC14060、74HC4020、74HC4060。
11
三、单元电路的设计
➢ 振荡器的设计
➢ 分频器的设计
➢ 时、分、秒计数器的设计
➢ 译码显示电路设计
➢ 校时电路的设计
➢ 定时控制电路的设计
➢ 正点报时电路的设计
➢ 报整点时数电路的设计
➢ 触摸报时电路的设计
12
1.振荡器的设计
振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程 度,通常选用石英晶体构成振荡器电路。一般来说,振荡器 的频率越高,计时精度越高。
制串行计数器)实现。
21
振荡器中的非门和分频电路通常由一块集成电路 CD4060(14位二进制串行计数器)实现。
CD4060
1
1
11
10
14级计数器
12脚应接地
3脚Q14: 输出2Hz
石英晶体
VDD Q10 Q8 Q9 CLR CP1 CP0 CP0
成,包括电路图
5
一、数字钟的功能要求(一)
能进行正常的时、分、秒计时功能 准确计时,以数字形式显示时、分、秒的时间; 小时的计时要求为24进制
23:59:59》》0:00:00 ➢ 可扩展为:小时的计时要求为“12翻1”
12:59:59》》1:00:00 分和秒的计时要求为60进位;
6
一、数字钟的功能要求(二)
能进行手动校时
利用两个单刀双掷开关分别对时位和分位进行校正。 校时位时,要求时位以每秒计1的速度循环计数; 校分位时,要求分位以每秒计1的速度循环计数,
此时秒位计数应置0,并且分位向时位的进位必须 断开。 ➢ 可扩展为:快调和慢调两种
7
一、数字钟的功能要求(三)
能进行整点报时
要求发出仿中央人民广播电台的整点报时信号 即在59分50秒起隔2秒钟发出一次低音的“嘟”
采用555定时器构成多谐振荡器: 缺点:频率不准确。 采用石英晶体振荡器: 优点:振荡频率准确,电路结构简单。
13
晶体振荡器电路
如图所示为电子手 表集成电路(如 5C702)中的晶体 振荡器电路;
常取晶振的频率为 32768Hz,因其内 部有15级2分频集 成电路,所以输出 端正好可得到1Hz 的标准脉冲
1
RF 22M
JT
32768Hz C1 3/22pF
1 vo
R 150k
C2 20pF
14
555定时器实现电路
+5V R1 2k
如果精度要求 不高也可以采用由
RP
84
10k 7
集成逻辑门与RC组 成的时钟源振荡器
R2 5.1k
6 555555 3 vo 或由集成电路定时
2
器515m5s 与RC组成的
学会查阅技术手册和文献资料;
进一步熟悉常用集成电路的设计方法;
初步掌握电路的调试技能和故障排除方法;
撰写实验报告;
4
设计报告的主要内容及要求
设计任务与要求 设计方案比较 单元电路工作原理和实现电路(芯片功能等) 电路中用到的元件要求查出具体型号,并且按照
引脚画图 完整的整机电路 正本报告只能用一种颜色的笔(不能用铅笔)完
数字电路课程设计
长春理工大学 电信学院 电工电子实验教学中心
1
设计题目 数字闹钟电路设计
2
目录
课程设计要求 数字钟的功能要求 数字钟电路系统的组成方框图 单元电路设计 整机电路
3
课程设计要求
课程设计过程
理论设计阶段
硬件电路实验阶段
实验报告及答辩阶段
应达到的基本要求
独立完成实验的理论设计;
9
二、数字钟电路系统的组成框图
该系统的工作原理是:
振荡器产生高稳定的高频脉冲信号,作为数字 钟的时间基准,再经分频器输出标准秒脉冲信 号。
秒计数器计满60后向分计数器进位,分计数器 计满60后向小时计数器进位,小时计数器按照 24或者“12翻1”规律计数。
计时出现误差时可以用校时电路进行校时和校 分。
18
秒脉冲产生电路的设计(电路形式一)
R1
R2
1
C1 1
uo 分频电路
A
秒脉冲
石英晶体
C2
4MHz
输出方波uO的频率 = 石英晶体的固有谐振频率
实际应用中,为了改善
R1=R2=0.7-2k
输出波形和增强带负载能力,
C1=0.01F 耦合电容
通常还在Uo输出端再加一级
C2=10pF 防止寄生振荡产生。 反相器。
信号(信号鸣叫持续时间1s,间隙1s),连续发 出4次; 到达整点时(即00分00秒)再鸣叫一次高音的 “哒”信号(信号持续时间仍为1s)。 ➢ 可扩展:报整点时数(几点响几声);
触摸报时;
8
一、数字钟的功能要求(四)
具有定时闹功能
计时过程中的任意“时”、“分”均能按时起闹。 ➢ 可扩展:闹钟响声时间可调
10
二、数字钟电路系统的组成框图
时显示器
分显示器
秒显示器
定时控制
主振荡时器译产码器生的稳分定译的码器高 体 电频脉冲信号,作为数字 路钟的时时计间数器基准,分再计经数器分
ቤተ መጻሕፍቲ ባይዱ秒译码器 秒计数器
仿电台报时



报整点时数

频器输出标准秒校脉时电冲路
1s 秒计数触器摸整计点满报时60后
数字或小钟“时电1计路2计计翻数系数数时1器统”器出分向按由路振规的现计小荡照主进律输器误数时2体行计出4差器计电校经时计数路时译可满器和和分码以6进频扩校0器器用位后展分送校电显时向路示电分两器计大数部器分进所位组成
多谐振荡器。
C1 0.1F
1
5
C2 0.01F
这里设振荡频率
fo =103Hz
15
三、单元电路的设计
➢ 振荡器的设计
➢ 分频器的设计
➢ 时、分、秒计数器的设计
➢ 译码显示电路设计
➢ 校时电路的设计
➢ 定时控制电路的设计
➢ 正点报时电路的设计
➢ 报整点时数电路的设计
➢ 触摸报时电路的设计
17
2.分频器的设计
19
秒脉冲产生电路的设计(电路形式二)
晶体振荡器
32768Hz
2
2分频电路(共15个)
2 2
CP 1秒
共32768分频
20
晶体振荡器 32768Hz
1
uo
1
32768分频电路
秒脉冲
R1 10M
振荡器中的非门和分频电路通常
石英晶体
由一块集成电路CD4060(14位二进
32768Hz
C1 5-50P
相关文档
最新文档