m序列发生器设计实现
m序列发生器设计实现
河南师范大学设计性实验报告学期:2014-2015学年第 1 学期m序列发生器设计实现_实验实验小组成员:班级:2013级网络工程班学院:计算机与信息工程学院填表日期: 2014年 11月 29 日实验项目简介:1 问题描述通常产生伪随机序列的数字电路为一反馈移位寄存器。
根据其构成结构,它又分为线性反馈移位寄存器和非线性反馈移位寄存器两类,由线性反馈移位寄存器产生的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器序列,简称m序列。
2.实验原理:此实验是用4位移位寄存器实现可控乘/除法2到8步长为2n电路通过分析不难看出本次实验的乘除法运算中一个只出现三个数字2、4、8写成二进制为0010、0100、1000可以发现每一次乘法都只是将1向左移一个位每一次除法则是向右移一位,那么就可以使用74194双向移位寄存器。
首先要了解4位移位寄存器。
工作原理:74194是一个4位双向移位寄存器。
它具有左移、右移、并行输入数据、保持以及清除等五种功能:当~R=1MA MB=00MA MB=01MA MB=10MA MB=113.一个完整的系统应具有以下功能:1)控制信号的移动方向,通过改变S1S0的编码状态,使移位器左移、右移、保持等。
2)可以得到m序列的周期,通过观察示波器中CLK与Sl或者Sr的波形,可以得出m序列的周期。
4.实验目的:1、掌握M序列信号产生的基本方法2、利用EWB产生M序列信号,设计电路做成M序列信号发5.实验条件:学院提供公共机房,1台/学生微型计算机。
实验总结:1.在实验的过程中,小组成员积极准备。
通过实验加深了对74194芯片性能的了解,提高了各个成员的动手能力。
2.但是由于知识掌握不够全面准确的原因,实验过程中多次出现问题,小组成员积极思考,最终解决了问题。
3.在观察m序列周期的过程中,出现了周期同预期不符合的情况,最终发现是输入脉冲时出现了问题。
南昌大学M序列信号发生器实验报告
南昌大学信息工程学院M序列信号发生器课程设计班级:姓名:学号:基于MULTISIM的序列信号发生器实验目的实验要求实验元件实验原理MLTISIM知识简介MLTISIM中仿真仪器实验设计仿真分析仿真电路示波器显示输出波形实验结果实验结论实验感想一、实验目的:1、掌握M序列信号产生的基本方法2、利用MULTISIM产生M序列信号,设计电路做成M序列信号发生器3、掌握M序列 0 状态消除的基本手段二、实验要求:在MULTISIM中采用移存器自启动电路设计仿真M=31序列信号发生器电路,采用虚拟逻辑分析仪观察波形输出。
要求自制时钟脉冲信号,并能清楚地观察到M序列稳定的波形。
采用EDA进行图形仿真,硬件电路来实现。
三、实验元件函数发生器,双端输入示波器,74LS30,74LS164,74LS005V直流电源四、实验原理1、MULTISIM 软件的简介在众多的 EDA 设计和仿真软件中,MULTISIM 软件以其强大的仿真设计应用功能,在各高校电信类专业电子电路的仿真和设计中得到了较广泛的应用。
软件及其相关库包的应用对提高学生的仿真设计能力,MULTISIM更新设计理念有较大的好处。
MULTISIM(电子工作平台)软件,最突出的特点是用户界面好,各类器件和集成芯片丰富,尤其是其直观的虚拟仪表是 MULTISIM 软件的一大特色。
它采用直观的图形界面创建电路:在计算机屏幕上模仿真实实验室的工作台,绘制电路图需要的元器件、电路仿真需要的测试仪器均可直接从屏幕上选取。
MULTISIM 软件所包含的虚拟仪表有:示波器,万用表,函数发生器,波特图图示仪,失真度分析仪,频谱分析仪,逻辑分析仪,网络分析仪等。
这些仪器的使用使仿真分析的操作更符合平时实验的习惯。
电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。
是在计算机辅助设计EDA(CAD)技术的基础上发展起来的计算机设计软件系统。
基于FPGA的m序列信号发生器设计
基于FPGA的m序列信号发生器设计摘要:m序列是一种伪随机序列(PN码),广泛用于数据白噪化、去白噪化、数据传输加密、解密等通信、控制领域。
基于FPGA与Verilog硬件描述语言设计井实现了一种数据率按步进可调、低数据误码率、反馈多项式为的m序列信号发生器。
系统时钟为20MHz,m序列信号发生器输出的数据率为20~100 kbps,通过2个按键实现20 kbps步进可调与系统复位,输出误码率小于1%。
m序列是最长线性反馈移位寄存器序列的简称,它是由带线性反馈的移位寄存器产生的周期最长的一种伪随机序列。
是由移位寄存器、反馈抽头及模2加法器组成。
m序列一旦反馈多项式及移位寄存器初值给定,则就是可以预先确定并且可以重复实现的序列,该特点使得m序列在数据白噪化、去白噪化、数据传输加密、解密等通信、控制领域使用广泛。
因此,深入学习研究m序列具有重要的实际意义。
1 m序列信号发生器的组成基于FPGA的m序列信号发生器硬件结构极其简单,仅需两个独立按键(一个是复位按键与另一个控制数据率切换按键)、一个48 MHz 的用于提供系统时钟有源晶振、系统电源、一块配置芯片、几个简单的电阻与电容即可实现。
按键去抖动、按键复位、按键切换数据率、时钟分频等功能均在FPGA内部编程实现。
2 m序列信号发生器的关键设计本文中m序列信号发生器的反馈多项式为。
其反馈及移位寄存器的关系图如图2所示。
从本设计中的反馈及移位寄存器的关系图可以看出,一个时钟周期,移位寄存器右移一位,最高位输入为x0、x2、x3、x4及x8的异或(模2相加)。
m序列的输出是移位寄存器的最低位。
图2所示的关系是m序列呈现为随机性、周期性的根本原因。
为了满足信号发生器输出数据率20 kbps的步进通过按键可调,则生成按步进20 kbps可调的时钟是实现该功能的关键。
当按键发生,时钟的输出频率加20 kbps。
在实际电路中,按键会有很大抖动,对系统会造成很大的不稳定性,因此,必须想办法减小这样的不利影响。
基于FPGA可配置m序列发生器的设计与实现
基于FPGA可配置m序列发生器的设计与实现作者:晏浩文陈伟吴琼黄庆超刘建国祝宁华来源:《现代电子技术》2018年第08期摘要:针对某些设计场合对可变m序列的需求,提出并验证了一种基于FPGA的m序列发生器方案。
该方案采用线性反馈移位寄存器的结构,可通过外设对发生器的初始状态进行配置来改变所产生的m序列。
使用ModelSim仿真软件对此设计进行了仿真,并将该m序列发生器应用于某光跳频保密通信系统中完成了实验验证。
结果表明,使用该方案实现的m序列发生器结构简单、易于集成,可产生大量不同的m序列,且具有可动态配置的特点。
关键词: FPGA; m序列;信号发生器;移位寄存器; ModelSim仿真软件;光跳频通信系统中图分类号: TN911⁃34; TN918 文献标识码: A 文章编号: 1004⁃373X(2018)08⁃0001⁃04Abstract: In allusion to the demand of variable m⁃sequence in some design occasions, anm⁃sequence generator scheme based on FPGA is proposed and verified. In the scheme, the linear feedback shift register structure is adopted, and the generated m⁃sequence can be changed by using peripherals to configure the initial state of the generator. The Modelsim simulation software is used to perform simulation for the design, and the m⁃sequence generator is applied to a certain optical frequency hopping secure communication system to accomplish the experimental verification. The results show that the m⁃sequence generator realized by this scheme has simple structure, is easy to be integrated, can produce a large number of different m⁃sequences, and has the characteristic of dynamic configuration.Keywords: FPGA; m⁃sequence; signal generator; shift register; ModelSim simulation software; optical frequency hopping communication systemm序列作为伪随机序列[1]的一种,具有优良的伪随机序列性质,在雷达[2]、导航[3]、常规通信[4⁃5]、保密通信[6]、地球物理勘察[7]和电子对抗[8]等领域中都有着广泛的应用。
课程设计论文基于FPGA的m序列发生器
基于FPGA的m序列发生器摘要m序列广泛应用于密码学、通信、雷达、导航等多个领域,本文提出了一种基于FPGA的伪随机序列产生方法,应用移位寄存器理论从序列的本原多项式出发,获得产生该序列的移位寄存器反馈逻辑式,结合FPGA芯片结构特点,在序列算法实现中采用元件例化语句。
算法运用VHDL语言编程,以A1tera的QuartusⅡ软件为开发平台,给出了序列的仿真波形。
序列的统计特性分析表明:该方法产生的序列符合m序列的伪随机特性,验证了算法的正确性。
关键词:m序列;移位寄存器理论;VHDL语言目录摘要 (I)1 m序列 (1)1.1 理论基础 (1)1.1.1 线性反馈移位寄存器 (2)2 m序列的性质 (5)2.1 均衡性 (5)2.2游程特性 (5)2.4 自相关特性 (5)2.5 伪噪声特性 (7)3 m序列的应用 (9)3.1 扩展频谱通信 (9)3.2 通信加密 (10)4 开发工具简介 (11)4.1 Quartus II简介 (11)4.2 数字系统开发流程 (12)4.3 FPGA简介 (12)5 m序列生成器仿真分析 (16)5.1 反馈系数表存储器设计 (16)5.2 移位存储器设计 (17)5.3 仿真分析 (18)参考文献 (20)附录 (22)1 m序列m序列是伪随机序列的一种 ,结构简单 ,实现方便。
在现代工程实践中 , m 序列在通讯、导航、雷达、通信系统性能的测量等领域中有着广泛的应用。
例如 , 在连续波雷达中可用作测距信号 , 在遥控系统中可用作遥控信号 , 在多址通信中可用作地址信号 , 在数字通信中可用作群同步信号 ,还可用作噪声源及在保密通信中起加密作用等。
伪噪声发生器在测距、通信等领域的应用日益受到人们重视。
目前,m序列产生实现方法主要有3种:(1)门电路实现该方法设计简单,但随移位寄存器级数的增长,电路装调困难,且占用的印制板面积较大。
(2)DSP编程实现该方法专业性过强,不适合一般用户。
M序列发生器设计实验指导书
M序列发生器设计实验一、实验原理:M序列码也称伪随机序列码,其主要特点是:(1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1次,即0、1出现概率几乎相等。
(2)序列中连1的数目是n,连0的数目是n-1。
(3)分布无规律,具有与白噪声相似的伪随机特性。
由于具有这些特点,m序列码在通信、雷达、系统可靠性测试等方面获得了广泛地应用。
m序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度M=2n-1,只有一个多余状态即全0状态,所以称为最大线性序列码发生器。
由于其结构已定型,且反馈函数和连接形式都有一定的规律,因此利用查表的方式就设计出m 序列码。
列出部分m序列码的反馈函数F和移存器位数n的对应关系。
如果给定一个序列信号长度M,则根据M=2n-1求出n,由n查表便可以得到相应的反馈函数F。
二、基于DSP Builder 的设计M 序列发生器可由线性反馈寄存器(Linear Feedback Shift Registers,LFSR )来产生,如图1所示。
图1 线性反馈移位寄存器的构成其特征多项式可表示为:∑==ni i i x C x F 1)(在图1中涉及的乘法和加法都是指模二运算的乘法和加法,即逻辑与和逻辑或。
要产生最长的线性反馈移位寄存器序列的n 级移位寄存器,其特征多项式必须是n 次本原多项式。
例如n=5,可以生成M 序列的5级LFSR 的特征多项式,即:125++x x ,此式可生成的M 序列的周期为:125-。
下面以M 序列发生器模型125++x x 为例,利用DSP Builder 构建一个伪随机序列发生器。
图2显示了上式的DSP Builder 模型表述,这里采用相连的延时单元级作为移位寄存器,用异或(XOR )完成模二加运算,输出为Output 。
图2 M 序列发生器模型但应注意,图2所示的电路一般无法正常工作。
这是由于在DSP Builder 默认的延时单元在开始工作时,存储内容为0,而对于M 序列来说,起始序列为全0,那么根据多项式,输出序列也将为0.全0序列不是正常的M 序列。
基于MATLAB的一种m序列设计与实现
i性进行验证,对工程技术人员有很大的参考价值。
关键词m序列MATLAB相关性平衡性
1 引言
扩频技术在导航、通信、测控等领域都得到广泛 应用,扩频系统的抗干扰、保密、多址、捕获与跟踪等 都与扩频码的设计密切相关,因此扩频码的生成和 性能评估是扩频系统的关键核心技术,决定了系统
的性能甚至成败。扩频系统对扩频序列的要求是:① 尖锐的自相关特性;②尽可能小的互相关值;③足 够多的序列数;④序列平衡性好;⑤工程上易实现。
technicians.
Key words m sequence,MATLAB,correlation,equilibrium
安德鲁喜获德国iCE动车组长期合同
,7康普公司全资子公司暨全球通信系统及设备领域领袖企业安德鲁无线通信公司,近日成功斩获一项德国ICE动 车组长期合同,将为其提供更先进的无线覆盖通信系统。
N=2^n一1:
register=[zeros(1,n一1)1];%初始状态 mseqfunc l(1)=register(n); %第一个输出码元
for ii=2:N
newregister(1)=mod(sum(fbeonneetion. 水register),2);
for ij=2:n, newregister(ij)=register(iji一1);
朋汲孔A 8的
一种m
计
王雪
(北京电子职业技术学院北京100016)
一
h…’‘~‘…r 11一…,^一^’…‘1…÷…H‘…h●'-……,……’。‘^n…‘~j●J一…、……_~一一…,……
}摘要扩频码设计是扩频系统的关键技术,利用计算机实现伪随机码的
;之路。本文基于MATLAB平台编制了一种m序列生成及相关性评估程序
一类基于FPGA的m序列发生器的设计
[收稿日期]20060525 [作者简介]邹学玉(1965),男,1988年大学毕业,副教授,博士生,现主要从事现代通信理论与技术、网络通信新技术方面的教学与研究工作。
一类基于FPGA 的m 序列发生器的设计 邹学玉,易国华 (长江大学电子信息学院,湖北荆州434023)[摘要]基于FP GA 的存储器结构,设计了产生m 序列的本原多项式的存储格式及其查询算法,并且提出了对任意级数n 的m 序列发生器的产生方法。
试验表明,使用该方法实现的m 序列发生器,结构简单,速度快,适用范围广。
[关键词]m 序列;信号发生器;FP GA[中图分类号]TP312;TN91414[文献标识码]A [文章编号]16731409(2006)03008403m 序列是伪随机序列[1~3]的一种,结构简单,实现方便。
在现代工程实践中,m 序列在通讯、导航、雷达、通信系统性能的测量等领域中有着广泛的应用。
例如,在连续波雷达中可用作测距信号,在遥控系统中可用作遥控信号,在多址通信中可用作地址信号,在数字通信中可用作群同步信号,还可用作噪声源及在保密通信中起加密作用等。
伪噪声发生器在测距、通信等领域的应用日益受到人们重视。
有关产生m 序列发生器的方法很多。
其中,用通用数字器件构成[4]的特点是速度可以很快,但硬件电路不便修改特性,只能产生单一n 级m 序列;用软件方式构成的特点是采用灵活的数据查询方式可以获得任意级数n 的本原多项式系数,从而实现m 序列的产生,但速度受到单片机工作速度的限制[5]。
而FP GA 具有硬件电路实现的优点,又具有设计上的灵活性,并且由于FP GA 便于实现大规模的数字系统,其中内嵌了一定数量的E 2PROM 。
为此,笔者将m 序列的本原多项式系数以表格的形式存储于FP GA 的E 2PROM 中,根据级数n 来查询本原多项式系数表,以得到其相应的本原多项式系数,由此设计出m 序列发生器。
1 基于FPGA 的m 序列产生原理m 序列信号发生器是在n 级线性移位寄存器[2]的基础上,加上反馈逻辑电路构成的。
基于VHDL可编程m序列发生器的研制
基于 VHDL 可编程 m 序列发生器的研制赵林军(陕西理工学院 电子与信息工程系 陕西 汉中 723003)摘 要: 提出一种可实现周期ƒ初相位编程控制的 m 序列发生器逻辑电路的设计方案。
给出了 V HDL 与 C P L D 的实现 方 案。
程序经编译、仿真、测试后, 可以实现设计要求。
该器件在M C S 51 的控制下, 实现m 序列的周期、初相位的编程变关键词: m 序列发生器; V HDL ; 逻辑电路; C P L D中图分类号: TN 914142文献标识码: B文章编号: 1004 373X (2004) 14 033 02Re s ea r ch an d D eve lop m en t of Programm a b le m Sequen ce Gen e ra tor Ba sed on VHDLZHA O L in jun(D ep a r t m en t o f E lec t ro n i c & Info rm a t i o n E ng inee r ing , Shaanx i U n i ve r s ity o f T echno lo gy , H anzho ng , 723003, C h ina )A b stra c t : T h e a r t i c l e in t r o d uce s o n e o f m sequ en ce gen e r a t o r s , w h ich deve l op ed ba s in g o n V HDL lan guage an d can beenp ro g r am m ab le o n p e r i o d s f r om 22 - 1 to 232- 11 W e exp lica t ed m ak in g p r i n c i p le an d w o rk i n g co u r s e , fu r t h e r m o re , gave th e h a r d w ir ec i rcu it o f th e i m p lem en t th a t h a s g r ea t l y p rac t i ca l and refe r en t i a l va l ue 1Keywords : m sequ en ce gen e r a t o r ; V HDL ; lo g i ca l c i rcu it ; C P L Dm 序列广泛应用于数字基带信号进行加扰, 改善数字 序列的位定时质量与帧同步和自适应时域均衡性能, 同时 也是构造平衡 G OL D 码的基础。
m序列发生器的设计与实现
王会华, 李宝平 (南京邮电大学 无线通信与电磁兼容实验室, 江苏, 南京, 21 0003 )
摘 要:伪随机码越来越受到人们的重视, 被广泛应用于导弹、卫星、飞船轨道测量和跟踪、雷达、导航、移
动通信、保密通信和通信系统性能的测量以及数字信息处理 系 统 中。m 序 列 是 伪随 机 码 中, 带 线 性 反馈
图 1 线性反馈移位寄存器
n
$ an=c1an- 1 #c2an- 2 #…#cn- 1 a1#cna0= cian- i
(1)
i=1
ci的取值决定了移位寄存器的反馈连接和序列的结 构 ,
故 ci是一个很重要的参量。用特征方程表示为:
n
$ f
! x " =c0+c1x+c2x2+…
n
+cnx =
i
cix
信号, 实现 1ms 清 零 一 次。系 统 清 零后 , D 触发器输出状态均为低电平, 为避免 m 序 列 发 器 输 出 “全 0”信 号 , 可 在 “模 二 加 ”运 算 后 加一 个 “非 门 ”。CLK 端 为 输 入 时 钟 , 产生的是 2.048MHz 时钟信号。 2.2 软件实现 软件实现的方法更多。用软件实现的方法具有更好的 可移植性, 更改也更容易。可通过 C 语言程序编程来产生 m 序列, 其特点是通过查询本原多项式链表, 得到任意级数 n 的本原多项式系数, 级数 n 的调整简单容易, 产生伪码的外 围硬件电路也无须改变。也可通过 C++来实现, 特点是函数 清晰, 算法简单, 实现流程和程序相对比较简单。下面介绍 三种软件仿真方法实现 m 序列。 2.2.1 MATLAB 仿真[5] MATLAB 除了原有的数 值 计算 功 能 之外 , 还 具 备了 越 来越多的其他功能, 其 Simulink 是比 较 突 出的 一 种 动态 仿 真功能, 是实现动态系统建模 、仿 真的 一 个 集成 环 境 。近几 年 , 在 学术 界 和 工 业 领 域 , Simulink 已 经 成 为 在 动 态 系 统 建 模和仿真方面应用最广泛的软件包之一。 图 4 中用到的模块分别为 D 触发器, 时钟源, 单位延时
4级m序列发生器设计参考
3级m序列发生器设计方案图2.2 七位反馈移位移存器方案一:根据图2.2的结构模型,对于级数为n=3的m序列,可设置四个寄存器,将寄存器0和寄存器1进行异或运算,把结果赋给寄存器3。
然后将寄存器2,1,0右移一位。
再将寄存器3赋给寄存器2。
这样就不会产生数据覆盖。
最后把寄存器0输出。
同理可得15位m序列,级数n=4的verilog建模思路。
方案二:由于7位m序列1110010可用三位二进制7种状态表示,因此可用Moore有限状态机的行为进行建模方法。
Moore有限状态机,其输出值只取决于当前状态,与输入值无关。
可以通过在always语句块内使用case语句来实现,在每个case分支都应当有输出值及状态转换。
设状态A=3'b111,B=3'b011,C=3'b001,D=3'b100,E=3'b010,F=3'b101,G=3'b110;它们的转换关系图如图2.3:图 2.3 m序列发生器的状态转移图方案一的程序比方案二简洁,方案二的思路比方案一更明白。
二者的各有千秋。
4级m序列及其Manchester编码module manchester_encodingnew(enc_data,m_test,clk);parameter BYTE_WIDTH=8;input clk;output enc_data;output m_test;reg phase;reg busy;wire clkr;wire enc_data;reg [2:0] bit_count;reg reg_data;reg Data_CLKT,Buff;reg [3:0]m_Buff;assign clkr=clk;initialbeginData_CLKT=0;busy=0;phase=0;bit_count=0;endalways@(posedge clkr)beginData_CLKT=~Data_CLKT;endalways@ (posedge clkr)beginphase=~phase;endalways@ (posedge clkr)beginif((bit_count==BYTE_WIDTH-1)&&phase)busy=0;elsebusy=1;endalways@(posedge clkr)beginif(phase&&busy)bit_count=bit_count+1;endalways@ (posedge clkr)beginif(phase&&busy)beginBuff=m_Buff[1]^m_Buff[0]^(m_Buff==0);m_Buff[0]=m_Buff[1];m_Buff[1]=m_Buff[2];m_Buff[2]=m_Buff[3];m_Buff[3]=Buff;endendalways@ (posedge clkr)beginreg_data=m_Buff[0];endassign m_test=reg_data;assign enc_data=reg_data^~phase;endmodule。
基于Matlab的m序列发生器的设计1
引言伪随机噪声具有类似于随机噪声的某些统计特性,同时又能够重复产生。
由于它具有随机噪声的优点,又避免了随机噪声的缺点,因此获得了日益广泛的实际实用。
这种周期性数字序列称为随机序列,有时又称为随机信号和伪随机码。
m序列是伪随机序列中最重要的序列中的一种,它不但具有易于产生的特点,还具有良好的自相关特性,在扩频通信中得到了广泛的应用。
1. m序列设计方案选择1.1 方案一编程实现m 序列:MA TLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。
1.2方案二图1.1 Simulink 实现m 序列Simulink 实现m 序列(如图1.1所示) 。
Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。
在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。
Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。
通过比较方案一和方案二,发现方案一的优点具有通用性,其中mserises.m相当于一个通项,根据具体的本原多项式调用它即可,而方案二利用MATLAB的simulink直接搭建模块,在移位寄存器较少时利用此方法极为简单,可是当移位寄存器的数量增多时,要搭建那么多的模块就显得很繁琐,缺乏通用性。
2.Simulink工具介绍2.1 Simulink简介Simulink是MATLAB软件最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。
在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可以构造出复杂的系统。
Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用与控制理论和数字信号处理的复杂仿真和设计。
同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。
通信原理实验:m序列的仿真设计
通信原理实验:m 序列的仿真设计一.实验目的了解m 序列的概念、产生原理、方法、性质和运用,了解m 序列的框图、仿真波形,学会对m 序列的仿真设计. 二.实验原理✓ m 序列的概念——由线性反馈移位寄存器产生的周期最长的序列。
它是由带线性反馈的移存器产生的周期最长的一种序列,是多级移位寄存器或其他延迟元件通过线性反馈产生的最长的码序列。
✓ m 序列的产生一般来说,在一个n 级的二进制移位寄存器发生器中,所能产生的最大长度的码序周期为12-n。
以m=4为例,若其初始状态为),0,0,0,1(),,,(0123=a a a a ,则在移位一次时,由3a 和0a 模2相加产生新的输入,1014=⊕=a 新的状态变为),0,0,1,1(),,,(0123=a a a a 这样移位15次后又回到初始状态,但若初始状态为(0,0,0,0),则移位后得到地全是0状态,这说意味着在这种反馈中要避免出现全0的状态.在4级移存器共有1624=种不同状态,除全0状态以外还有15种可用.即由任何4级反馈移存器产生的序列的周期最长为15,满足12-n(当n 为4时).图1:m 序列的产生举例:4级m 序列产生器及其状态图2中,ai (i = 0 – n ) - 移存器状态。
ai = 0或1。
ci -反馈状态。
ci = 0表示反馈线断开, ci = 1表示反馈线连通。
如图2中示出的一个一般的纯属反馈移存器的组成,反馈线的连接状态用1c ,=i i c 表示表示此线接通(参加反馈),0=i c 表示断开,反馈线的接线状态不同,就可能以改变此移存器序列的周期.✓ m 序列的性质➢ 均衡性: 在m 序列一个周期N=2n -1内“1”和“0”的码元数大致相等,“0”出现2n-1-1次,“1”出现2n-1次 (即“1”比“0”只多一个) 。
➢ 游程分布:游程是指序列中取值相同的一段元素。
并把这段元素的个数称为游程长度。
例如,在上面的一个周期中,共有8个游程,其中长度为4的游程有1个,即“1111”;长度为3的游程有1个,即“000”;长度为2的游程有两个,即“11”和“00”;长度为1的游程有4个,即两个“1”和两个“0”。
可控m序列发生器的VHDL实现
可控m序列发生器的VHDL实现杨晶超1.设计类型图1 n位线性反馈移位寄存器结构(1) n=5的m序列;(2) 反馈系数Ci=45(八进制),转化为二进制为100101,即C0=1,C1=0,C2=0,C3=1,C4=0,C5=1;(3) 5级移位寄存器(D5~D1)初始状态为10000。
基于以上条件,得到输出周期为31的m序列为1000010010110011111000110111010。
2.可控目标(1) 可以控制延迟若干个码元后开始发送;(2) 可以控制相邻两组m序列之间的发送间隔码元数;(3) 可以控制在发送若干组m序列之后结束。
其中,延迟、间隔和结束均用高电平表示。
3.程序流程图2 主流程图图3 延迟X个码元发送图4 间隔Z个码元发送图5 发送Y组m序列4.实现结果图6 延迟0个码元,间隔5个码元,发送2个周期图7 延迟1个码元,间隔10个码元,发送3个周期图8 延迟2个码元,间隔15个码元,发送4个周期附程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity m_sequence isgeneric (length : positive: =4;tap1 : positive: =4;tap2 : positive: =2);port (clk, reset : in bit;prbs : out bit);end m_sequence;architecture Behavioral of m_sequence issignal prreg : bit_vector (length downto 0);signal enable : std_logic:='0';signal enable2 : integer: =0;signal cnt : integer: =0;beginprocess (clk)variable count: integer: =0;beginif (clk'event and clk='1') thenif (count < 2 or cnt = 4) then --延迟2个码元,发送4个周期count: = count + 1;enable <='0';else enable <='1';end if;end if;end process;process (clk, reset, prreg, enable)beginif reset='0' thenprreg<=(length=>'1',others=>'0'); --初始状态elsif (clk'event and clk='1') and enable='1' thenprreg<=prreg ((length-1) downto 0) & (prreg (tap1) xor prreg (tap2));if prreg="01000" thenenable2<=15; --间隔15个码元cnt<=cnt+1;else enable2<=0;end if;if enable2 /= 0 thenenable2 <= enable2 - 1;prreg<= (length=>'1', others=>'0');end if;end if;end process;process (enable, prreg (length))beginif enable='1' thenprbs<=prreg (length);else prbs<='1';end if;end process;end Behavioral;。
基于QuartusⅡ的伪随机m序列发生器的设计
.通信系统课程设计报告江苏理工学院电气信息工程学院目录序言 (1)第1章QuartusⅡ软件介绍 (2)1.1 QuartusⅡ简介 (2)第2章基于QuartusⅡ的伪随机m序列发生器的设计 (3)2.1伪随机m序列发生器的设计要求 (3)2.2伪随机m序列发生器的设计 (3)2.3伪随机m序列发生器的实现 (5)2.3.1原理图输入法实现与仿真结果分析 (5)2.3.2 VHDL语言实现与仿真结果分析 (7)2.4 设计分析与总结 (9)2.4.1故障分析 (9)2.4.2功能分析 (9)参考文献 (10)体会与建议 (11)附录 (12)序言随机噪声降低了通信系统的可靠性,限制信道容量但又可以用于测试通信系统性能和提高保密通信,所以就有伪随机序列的产生。
因为其具有类似于随机噪声的某些统计特性又避免了随机噪声不能重复产生和处理的缺点。
m序列是最长线性移位寄存器序列的简称,是一种伪随机序列、伪噪声(PN)码或伪随机码。
可以预先确定并且可以重复实现的序列称为确定序列;既不能预先确定又不能重复实现的序列称随机序列;不能预先确定但可以重复产生的序列称伪随机序列。
m序列是目前广泛应用的一种伪随机序列,其在通信领域有着广泛的应用,如扩频通信,卫星通信的码分多址,数字数据中的加密、加扰、同步、误码率测量等领域。
在所有的伪随机序列中,m序列是最重要、最基本的一种伪随机序列。
它容易产生,规律性强,有很好的自相关性和较好的互相关特性。
采用原理图输入和VHDL语言产生周期为127,码元速率为50HZ的m序列第一章QuartusⅡ软件介绍1.1QuartusⅡ简介Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
m序列发生器的设计与实现
第1章绪论1.1 课题背景在信息化社会,通信系统担负着信息传输、交换和处理的重要任务。
通信技术的发展代表了一个国家科学技术的发展现状,也成为国家经济发展的一个重要推动力。
扩展频谱通信是通信的一个重要分支和发展方向,它是扩展频谱技术和通信技术相结合的产物。
由于扩展频谱即使具有抗干扰能力强、截获率低、多址能力强、抗多径、保密性好及测距能力强等一系列的优点,使得扩展频谱通信越来越受到人们的重视。
随着大规模或超大规模集成电路技术、微电子技术、为处理技术的迅猛发展以及一些新型器件的广泛应用,扩展频谱通信的发展迈上了一个新的台阶,它不仅在军事通信中占有重要地位,而且正迅速地渗透到民用通信中。
可以毫不夸张地讲,在现代通信系统,特别是无线通信系统,没有扩展频谱技术,这些系统想生存都是比较困难的。
在扩展频谱系统中,伪随机序列起着重要的作用。
在直扩系统中,用伪随机序列将传输信息扩展,在接收时又用它将信息压缩,并使干扰信息功率扩展,提高了系统的抗干扰能力;在跳频系统中,用伪随机序列控制频率合成器产生的频率随机地跳变,躲避干扰;在跳时系统中,用伪随机序列控制脉冲发送的时间和持续时间。
由此可见,伪随机序列性能的好坏,直接关系到整个系统性能的好坏,是一个至关重要的问题。
m序列是最长线性移位寄存器序列,是伪随机序列中最重要的序列中的一种,这种序列易于产生,有优良的自相关特性。
在直扩系统中m序列用于扩展要传递的信号,在跳频系统中m序列用来控制跳频系统的频率合成器,组成随机跳频图案。
电子设计技术由于计算机技术的发展而产生了巨大变化。
本设计是利用电子设计方法,用电路图表示设计思想,用实验电路板搭载实验电路,进行模拟、仿真,用电子测试仪器进行功能、性能测试。
20世纪80年代,计算机辅助设计(CAD)技术开始发展,许多CAD工具软件开始流行。
在信息学科领域也和其他学科一样,计算机辅助设计技术步入了发展轨道。
由于电子科学是计算机科学的基础,计算机学科的发展离不开电子学科的支持,但是计算机科学又反作用于电子科学,加速了电子学科的发展。
M序列发生器的设计与实现毕业论文
1 引言本文的主要内容是移位寄存器74LS194的研究和m序列码发生器的产生原理以及基于MAX+PLUS II、Protel 99SE软件的实现。
m序列码发生器的产生原理和实现是CDMA通信中的核心,具有重要的理论价值和广阔的应用前景。
文中基于对74LS194移位寄存器的研究,对伪随机序列的特性及对m序列发生器的结构进行了分析,运用MAX+PLUS II的模拟仿真和Protel 99SE进行印刷电路板设计,验证其正确性,最终产生m序列码。
1.1 研究此课题的目的伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。
这些特性使得伪随机序列得到了广泛的应用。
1.2 伪随机序列的应用和意义1.2.1在通信加密中的应用m序列自相关性较好,容易产生和复制,而且具有伪随机性,利用m序列加密数字信号使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号[1]。
1.2.2 在雷达信号设计中的应用近年兴起的扩展频谱雷达所采用的信号是已调制的具有类似噪声性质的伪随机序列,它具有很高的距离分辨力和速度分辨力。
这种雷达的接收机采用相关解调的方式工作,能够在低信噪比的条件下工作,同时具有很强的抗干扰能力。
该型雷达实质上是一种连续波雷达,具有低截获概率性,是一种体制新、性能高、适应现代高技术战争需要的雷达。
采用伪随机序列作为发射信号的雷达系统具有许多突出的优点。
首先,它是一种连续波雷达,可以较好地利用发射机的功率。
其次,它在一定的信噪比时,能够达到很好的测量精度,保证测量的单值性,比单脉冲雷达具有更高的距离分辨力和速度分辨力。
最后,它具有较强的抗干扰能力,敌方要干扰这种宽带雷达信号,将比干扰普通的雷达信号困难得多[2]。
1.2.3 在通信系统中的应用伪随机序列是一种貌似随机,实际上是有规律的周期性二进制序列,具有类似噪声序列的性质,在CDMA中,地址码都是从伪随机序列中选取的,在CDMA中使用一种最易实现的伪随机序列:m序列,利用m序列不同相位来区分不同用户;为了数据安全,在CDMA的寻呼信道和正向业务信道中使用了数据掩码(即数据扰乱)技术,其方法是用长度为2的42次方减1的m序列用于对业务信道进行扰码(注意不是扩频),它在分组交织器输出的调制字符上进行,通过交织器输出字符与长码PN码片的二进制模工相加而完成。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
河南师范大学设计性实验报告
学期:2014-2015学年第 1 学期
m序列发生器设计实现_实验
实验小组成员:
班级:2013级网络工程班
学院:计算机与信息工程学院
填表日期: 2014年 11月 29 日
实验项目简介:
1 问题描述
通常产生伪随机序列的数字电路为一反馈移位寄存器。
根据其构成结构,它又分为线性反馈移位寄存器和非线性反馈移位寄存器两类,由线性反馈移位寄存器产生的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器序列,简称m序列。
2.实验原理:
此实验是用4位移位寄存器实现可控乘/除法2到8步长为2n电路通过分析不难看出本次实验的乘除法运算中一个只出现三个数字2、4、8写成二进制为0010、0100、1000可以发现每一次乘法都只是将1向左移一个位每一次除法则是向右移一位,那么就可以使用74194双向移位寄存器。
首先要了解4位移位寄存器。
工作原理:74194是一个4位双向移位寄存器。
它具有左移、右移、并行输入数据、保持以及清除等五种功能:
当~R=1MA MB=00
MA MB=01
MA MB=10
MA MB=11
3.一个完整的系统应具有以下功能:
1)控制信号的移动方向,通过改变S1S0的编码状态,使移位器左移、右移、保持等。
2)可以得到m序列的周期,通过观察示波器中CLK与Sl或者Sr的波形,可以得出m序列的周期。
4.实验目的:
1、掌握M序列信号产生的基本方法
2、利用EWB产生M序列信号,设计电路做成M序列信号发
5.实验条件:学院提供公共机房,1台/学生微型计算机。
实验总结:
1.在实验的过程中,小组成员积极准备。
通过实验加深了对74194芯片性能的
了解,提高了各个成员的动手能力。
2.但是由于知识掌握不够全面准确的原因,实验过程中多次出现问题,小组成
员积极思考,最终解决了问题。
3.在观察m序列周期的过程中,出现了周期同预期不符合的情况,最终发现
是输入脉冲时出现了问题。