-EDA课设报告
eda课程设计5篇[修改版]
第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。
2、具有清零、校时、校分功能。
3、具有整点蜂鸣器报时以及LED花样显示功能。
二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。
三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。
EDA课程设计报告--四位加法器设计
《EDA》课程设计题目:四位加法器设计学号: 200906024245姓名:梁晓群班级:机自094指导老师:韩晓燕2011年12月28日—2011年12月30日目录摘要----------------------------------3EDA简介---------------------------3概述----------------------------------4 1.1目的与要求-------------------4 1.2实验前预习-------------------41.3设计环境----------------------5四位全加器的设计过程----------52.1 半加器的设计-----------------62.2一位全加器的设计-----------92.3四位全加器的设计----------11收获与心得体会----------------13摘要本文主要介绍了关于EDA技术的基本概念及应用,EDA设计使用的软件Quartus7.2的基本操作及使用方法,以及半加器、1位全加器和四位全加器的设计及仿真过程。
EDA简介EDA的概念EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作.EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。
EDA课程设计报告
EDA课程设计报告实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。
(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。
(4)了解掌握单片机芯片的烧写方法与步骤。
(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。
(6)掌握利用protel 99 se绘制电路原理图及pcb图。
(7)了解pcb板的制作腐蚀过程。
二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。
net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。
(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。
(6)制板。
首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb 板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。
(7)焊接。
将所给元器件根据原理图一一焊至pcb板相应位置。
(8)调试。
先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。
(流水灯控制器原理图)四、流水灯控制器程序的主程序:org 0000hsjmp startorg 0030hstart:mov a,0ffhmov r0,1chmov r2,12hclr cloop1:acall delaydjnz r0,loop,尽在。
EDA课程设计报告--EDA数字抢答器
学生课程设计报告课程名称:EDA数字抢答器目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (4)四、结论 (21)五、结束语 (22)六、参考书目 (22)一、课程设计目的.设计一个可容纳4组参赛的数字式抢答器。
通过此次设计熟练掌握VHDL 语言,并掌握设计所用的软件。
二、课程设计题目描述和要求在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。
同时,还可以设置计分、犯规及奖惩计录等多种功能。
本设计的具体要求是:(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2) 电路具有第一抢答信号的鉴别和锁存功能。
(3) 系统具有计分电路。
(4) 系统具有犯规电路。
系统设计方案:系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(犯规警告模块,输出显示模块)。
三、课程设计报告内容按照要求,我们可以将整个系统分为四个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块;译码显示模块。
对于需显示的信息,需要增加或外接译码器,进行显示译码。
考虑到实验开发平台提供的输出显示资源的限制,我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。
整个系统的大致组成框图如图2.1所示。
图2.1 LED A LED B LED C LED D3.1抢答鉴别模块3.1.1抢答鉴别模块的功能抢答队伍共分为四组A,B,C,D。
EDA课程设计报告-格式
郑州航空工业管理学院
EDA课程设计报告题目
姓名
学号
指导教师
二О一一年月日
一.设计任务
主要说明你的设计任务及功能。
二.设计方案
主要说明用什么方法实现的,用到哪些元件等,画出电路原理图。
(照着发的板子测出来),说明电路工作原理。
三.设计程序
主要分析程序的设计流程,主要部分进行说明,并将完整程序附上。
四.总结及心得
总结设计过程有哪些问题,怎么解决的,还有什么需要完善的。
设计过程中有什么心得和收获。
电路板的管脚连接关系如下:
Seg0 seg1 seg2 seg3 seg4 seg5 seg6 (小数点seg7没有用到)
24 25 26 27 28 29 31
时钟GCLK1 43
Dig0 dig1 dig2 dig3
34 36 37 39
Key0 key1 key2
14 16 17。
eda课程设计实验小结
eda课程设计实验小结一、教学目标本课程的教学目标是使学生掌握EDA(电子设计自动化)的基本原理和实验技能,能够运用EDA工具进行简单的电子系统设计和仿真。
具体目标如下:1.知识目标:学生能够理解EDA的基本概念、发展历程和主要应用领域;熟悉常见的EDA工具及其基本操作。
2.技能目标:学生能够运用EDA工具进行电路设计、仿真和验证;能够进行简单的PCB设计和管理。
3.情感态度价值观目标:培养学生对电子技术的兴趣和好奇心,提高学生的问题解决能力和创新意识。
二、教学内容根据课程目标,教学内容主要包括以下几个部分:1.EDA基本概念和发展历程:介绍EDA的定义、发展历程和主要应用领域。
2.EDA工具的基本操作:以某一款常见的EDA工具为例,讲解其基本操作和功能。
3.电路设计和仿真:通过具体案例,讲解如何使用EDA工具进行电路设计和仿真。
4.PCB设计和管理:介绍PCB的基本概念,讲解如何使用EDA工具进行PCB设计和管理。
三、教学方法为了达到课程目标,我们将采用以下几种教学方法:1.讲授法:讲解EDA的基本概念、发展历程和主要应用领域。
2.案例分析法:通过具体案例,引导学生掌握EDA工具的基本操作和应用。
3.实验法:让学生亲自动手进行电路设计和仿真实验,提高其实践能力。
4.讨论法:鼓励学生积极参与课堂讨论,培养其创新意识和问题解决能力。
四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:选用权威、实用的EDA教材,为学生提供系统、科学的学习材料。
2.参考书:提供一些精选的参考书籍,拓展学生的知识视野。
3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣。
4.实验设备:准备充足的实验设备,确保每个学生都能动手进行实验。
五、教学评估为了全面、客观地评估学生的学习成果,我们将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等情况,评估其学习态度和理解能力。
eda课程设计报告
eda课程设计报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行电路设计与仿真,理解并掌握数字电路的设计原理。
3. 学生了解并掌握基础的硬件描述语言(如VHDL/Verilog),能完成简单的数字系统设计。
技能目标:1. 学生通过EDA软件的操作,培养电子电路设计、仿真与验证的实际操作能力。
2. 学生通过小组合作完成设计项目,提高团队协作与沟通技巧。
3. 学生能够运用所学知识解决实际问题,具备一定的创新意识和动手能力。
情感态度价值观目标:1. 学生在EDA课程学习中,培养对电子科学技术的兴趣和探究精神。
2. 学生通过课程实践,增强自信心和成就感,激发进一步学习的动力。
3. 学生在学习过程中,树立正确的工程伦理观念,认识到技术发展对社会的责任和影响。
课程性质:本课程为电子信息工程及相关专业高年级学生的专业核心课程,旨在通过理论与实践相结合的教学,提高学生的电子设计能力。
学生特点:学生已具备一定的电子技术基础,具有较强的学习能力和实践欲望,对新技术和新工具充满好奇心。
教学要求:结合学生特点,注重培养实际操作能力,鼓励学生创新思维,提高解决实际问题的能力。
通过课程目标分解,确保学生在知识、技能和情感态度价值观方面的全面成长。
后续教学设计和评估将以此为基础,关注学生的学习成果。
二、教学内容根据课程目标,教学内容分为以下三个模块:1. EDA基本概念与工具使用- 教材章节:第一章 EDA技术概述,第二章 EDA工具简介- 内容列举:EDA发展历程,常用EDA软件介绍,软件安装与配置,基本操作流程。
2. 数字电路设计与仿真- 教材章节:第三章 数字电路设计基础,第四章 仿真技术- 内容列举:数字电路设计原理,EDA软件电路设计流程,仿真参数设置,波形分析与验证。
3. 硬件描述语言与数字系统设计- 教材章节:第五章 硬件描述语言,第六章 数字系统设计实例- 内容列举:硬件描述语言基础,VHDL/Verilog语法要点,简单数字系统设计方法,设计实例分析与实操。
eda
EDA课程设计实验报告交通信号控制器的VHDL的设计一、设计任务及要求:设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。
要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。
支干道主干道图1 路口交通管理示意图A B C D主干道交通灯绿(40秒)黄(4秒)红(20秒)红(4秒)支干道交通灯红红绿黄表1 交通信号灯的4种状态设计要求:(1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
二设计原理1、设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制2’设计说明(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。
模块说明:系统输入信号:Clk: 由外接信号发生器提供256的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。
模块说明:系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。
模块说明:系统输入信号:full: 接收由clk 电路的提供的1hz 的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号: comb_out: 负责红绿灯的状态显示。
eda课程设计报告速度表设计
eda课程设计报告速度表设计一、课程目标知识目标:1. 学生能理解速度表的基本原理和设计理念,掌握速度的计算公式。
2. 学生能了解并运用电子设计自动化(EDA)软件进行速度表电路的设计与仿真。
3. 学生掌握速度表在实际应用中的相关技术参数和性能指标。
技能目标:1. 学生能够运用EDA软件进行电路图绘制,具备基本的电路设计能力。
2. 学生能够通过小组合作,进行速度表的设计、搭建与调试,培养实际操作能力。
3. 学生能够运用所学知识解决实际生活中的速度测量问题,提高创新能力。
情感态度价值观目标:1. 学生通过本课程的学习,培养对电子设计自动化技术的兴趣,激发创新精神。
2. 学生在小组合作中,培养团队协作意识,提高沟通与交流能力。
3. 学生能够认识到速度表在交通安全中的作用,增强社会责任感和遵守交通规则的意识。
本课程针对学生年级特点,注重理论与实践相结合,以EDA软件为工具,培养学生电子设计能力。
课程目标具体、可衡量,旨在使学生在掌握基本知识的同时,提高实际操作和创新能力,培养良好的团队协作意识和价值观。
二、教学内容1. 速度表原理及计算公式:讲解速度表的基本工作原理,引导学生掌握速度的计算公式,理解速度与时间、路程的关系。
2. EDA软件应用:介绍EDA软件的基本功能与操作方法,使学生能够运用软件进行电路设计与仿真。
3. 速度表电路设计:依据教材相关章节,指导学生进行速度表电路的绘制,包括传感器、放大器、显示电路等组成部分。
4. 电路搭建与调试:学生分组进行速度表的搭建和调试,掌握电路故障排查方法,提高实际操作能力。
5. 速度表在实际应用中的案例分析:分析速度表在交通安全、运动监测等领域的应用案例,让学生了解速度表的实际意义。
教学内容安排和进度:第一课时:速度表原理及计算公式第二课时:EDA软件应用与电路设计第三课时:速度表电路搭建与调试第四课时:案例分析及总结教学内容与课本紧密关联,注重科学性和系统性。
EDA课程设计
课程设计报告课程设计名称:EDA技术课程设计题目:万年历系统的设计姓名:专业:电子信息科学与技术班级:电信一班学号:目录一、设计目标: (3)二、设计方案 (3)1、系统功能 (3)2、系统设计方案说明 (3)三.模块设计 (4)3.1分频模块 (4)3.2、主控制模块 (5)3.3 时间和日期的显示及设置模块 (6)3.4 输出显示模块 (11)3.5按键去抖模块 (12)四、设计总结 (13)附件1 原理电路图 (14)附件2、管脚分配图 (14)附件3、设计原理框图 (15)EDA课程设计实验报告一、设计目标:基本要求:1、使用原理图输入完成下述设计功能(1)设计一个数码管实时显示时、分、秒的数字时钟(24小时显示模式);(2)为了演示方便,应具有分钟、小时快进功能;(3)时、分、秒设置功能即校时功能。
(4)其他时钟使用功能,如闹钟、整点报时等功能。
2、使用硬件描述语言verilog HDL完成上述功能。
二、设计方案1、系统功能系统有数字钟的显示与设置,数字跑表的暂停及清零,闹铃的设置与实现,日期的设置与显示。
2、系统设计方案说明此实验是 FPGA系统的设计,主要有分频模块、主控制模块、时间和日期的显示及设置模块、输出显示模块、按键去抖模块以及一些输入和输出管脚、电源和地构成。
三.模块设计3.1分频模块1.功能:分频器,使1000HZ时钟信号分频成低频信号,达到适合的频率,以供此实验应用。
最后输入频率为1Hz。
2.相关程序:input clk_1Hz ; //1Hz时钟,供数字钟使用input clk_1kHz ;always@(posedge clk_1kHz)beginclk_500Hz=~clk_500Hz; //2分频//由1 kHz分频得500 Hz时钟信号,整点报时使用temp1=func_key;temp2=key1;temp3=key2;if(divide==3'b101) // 5 分频//由1 kHz分频得到100 Hz信号,用作跑表的时钟begindivide=0;clk_100Hz=~clk_100Hz;endelse divide=divide+1;end3.2、主控制模块1.功能:该模块实现对各个功能的整体控制选择,包括对时间显示与调整、日期显示与调整、闹钟显示与调整、秒表操作等的控制,同时输出所要显示的相应数据,完成万年历的整体操控选择设置等功能。
eda课程设计实训报告
eda课程设计实训报告一、教学目标本课程的教学目标分为知识目标、技能目标和情感态度价值观目标。
知识目标:学生通过本课程的学习,能够掌握eda的基本概念、原理和应用。
技能目标:学生能够熟练使用eda工具,进行电子系统设计和仿真。
情感态度价值观目标:培养学生对科技创新的兴趣和热情,提高学生解决实际问题的能力。
二、教学内容根据课程目标,本课程的教学内容主要包括eda基本概念、eda工具的使用和电子系统设计实例。
教学大纲如下:1.第一章:eda概述学习eda的基本概念、发展历程和应用领域。
2.第二章:eda工具介绍学习主流eda工具的使用方法和技巧。
3.第三章:电子系统设计实例通过具体实例,学习如何使用eda工具进行电子系统设计和仿真。
三、教学方法本课程采用讲授法、讨论法、案例分析法和实验法等多种教学方法。
1.讲授法:用于讲解eda的基本概念和原理。
2.讨论法:用于引导学生探讨和解决问题。
3.案例分析法:通过分析具体案例,让学生掌握eda工具的使用方法和技巧。
4.实验法:让学生动手实践,提高实际操作能力。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验设备。
1.教材:选用权威、实用的eda教材作为主要学习资料。
2.参考书:提供相关领域的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣。
4.实验设备:保障实验课程的顺利进行,让学生充分实践。
五、教学评估本课程的评估方式包括平时表现、作业和考试。
1.平时表现:通过课堂参与、提问和讨论等方式评估学生的学习态度和理解程度。
2.作业:布置适量的作业,评估学生的掌握情况和应用能力。
3.考试:进行期中和期末考试,全面评估学生的知识掌握和运用能力。
评估方式要求客观、公正,能够全面反映学生的学习成果。
六、教学安排教学进度安排如下:1.第一章:eda概述(2课时)2.第二章:eda工具介绍(4课时)3.第三章:电子系统设计实例(6课时)教学时间安排为每周2课时,共计12课时。
eda课程设计实习心得体会报告
eda课程设计实习心得体会报告eda课程设计实习心得体会报告随着EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度,提供课程设计指导实习,分享心得体会报告。
下面是查字典范文网小编为大家收集整理的eda课程设计实习心得体会报告,欢迎大家阅读。
eda课程设计实习心得体会报告篇1EDA课程设计心得体会,这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,没有过实际开发设计的经验,我们基本学会了PLC设计的步聚和基本方法。
这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。
通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。
特别是当每一个子模块编写调试成功时,心里特别的开心。
但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于刻,掌握得不够牢固。
在设计过程中,总是遇到这样或那样的问题。
有时发现一个问题的时候,需要做大量的工作,花大量的时间才能解决。
自然而然,我的耐心便在其中建立起来了。
为以后的工作积累了经验,增强了信心。
eda课程设计实习心得体会报告篇2本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。
我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
EDA课设报告
1.1试用频率分别为f1= 200 Hz、f2= 2000 Hz的两个正弦信号源,合成一调制信号y(t)=5sin(2πf1t)*cos(2πf2t),观察其频谱与输出信号波形。
注意根据信号的频率选择适当的系统采样速率。
仿真电路图结果分析:(上图为时域图,下图为频谱图)。
可以在频谱图上很容易就看出此电路的电路图经由乘法器变换后出现了两个频率,经过计算可以肯定是f0与f1的和频与差频分别为2200HZ与1800HZ。
1.2将一正弦信号与高斯噪声相加后观察输出波形及其频谱。
从小到大改变高斯噪声的功率,重新观察输出波形及其频谱。
仿真电路图0:振幅1V ,频率10Hz ,相位为0°的正弦波 1:高斯噪声,标准方差设为0.1,均值为02:加法器,将图符0和图符1的信号进行相加得到所要的调制信号,加法器相当于传输信道,信号在信道中传输,加入的高斯噪声相当于噪声源采样频率为50000HZ 高斯方差0.1高斯方差1第一张图是输出信号的波形,可看出输出信号是周期为0.1s,振幅为1V的正弦波,但由于加入了噪声源,所以输出的信号不再平滑,出现了波折,并随着高斯噪声功率的增加输出信号曲线出现的波折越大,如第三张图中信号已经很难分辨。
1.3已知DTMF双音频编码器的低组频率为697 Hz,,770 Hz,852 Hz,941Hz,高组频率为1209 Hz,1336 Hz,1477 Hz,1633 Hz,试合成0~9、*、#的双音频,并使用接收器图符中的单声道音频文件(8bit wav)输出,通过计算机的声卡输出声音,与实际电话输出的声音比较。
注意,在输出端应加入一定的增益来放大波形。
仿真电路图1~7全部都是题目中出现的高低频率的组合,每两个为一组通过加法器连接,而后早通过增益将这些加和平率放大,然后通过单声道的输出,为了方便的观察每组的频谱变化故在每个音频输出的后面加上了波形输出,其时域和频域图谱如图所示,虽然选择了其中的一组但还是可以反映出其他组的情况,从频谱上虽然有很多频率分量但还是可以清楚的看出有几个主要的频率,他们就是这段音频的主要组成部分。
EDA课程设计实验报告
EDA课程设计实验报告课程设计报告课程名称数字系统与逻辑设计课题名称 16*16点阵显示专业通信工程班级1181学号 131 7姓名肖浪指导教师乔汇东吴德建7月 2日湖南工程学院课程设计任务书课程名称数字系统与逻辑设计课题 16*16点阵显示专业班级通信工程1181 学生姓名肖浪学号 131 7指导老师乔汇东吴德建任务书下达日期年 6月 23日任务完成日期年7月2日《数字系统与逻辑设计》课程设计任务书一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常见的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。
二、设计要求1、设计正确,方案合理。
2、程序精炼,结构清晰。
3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。
4、上机演示。
5、有详细的文档。
文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。
三、进度安排第十八周星期一:课题讲解,查阅资料星期二:总体设计,详细设计星期三:编程,上机调试、修改程序星期四:上机调试、完善程序星期五:答辩星期六-星期天:撰写课程设计报告附:课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。
正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。
正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。
目录一、课题的主要功能 (3)1.1问题描述 (3)1.2 功能描述 (4)二、课题的功能模块的划分 (4)2.1 系统的总体框图 (4)三、主要功能的实现 (5)3.1 1 8进制加法器设计 (5)3.2 2 16进制计数器设计 (5)3.3 列驱动设计 (5)3.4 字体显示控制器 (5)3.5 顶层文件设计 (5)四、系统调试与仿真 (6)4.1 程序仿真图 (6)4.2 16*16 LED点阵显示引脚分配 (8)4.3 程序运行结果 (9)五、总结与体会 (9)六、附件 (10)七、课程设计评分表 (20)一、课题的主要功能1.1问题描述本实验主要完成汉字字符在LED 上的显示,16*16 扫描LED 点阵的工作原理与8 位扫描数码管类似,只是显示的方式与结果不一样而已。
课程设计eda
课程设计eda一、教学目标本课程的教学目标是使学生掌握XX学科的基本概念、原理和方法,能够运用所学知识解决实际问题。
具体目标如下:1.知识目标:学生能够准确理解并记忆XX学科的基本概念、原理,了解学科的发展历程和应用领域。
2.技能目标:学生能够运用所学知识解决实际问题,具备一定的实践操作能力,能够进行科学探究和数据分析。
3.情感态度价值观目标:学生对XX学科产生浓厚的兴趣,培养科学思维和创新意识,增强社会责任感和使命感。
二、教学内容根据课程目标,本课程的教学内容主要包括以下几个方面:1.XX学科的基本概念和原理:通过讲解和案例分析,使学生了解并掌握XX学科的基本概念和原理。
2.XX学科的应用领域:介绍XX学科在实际应用中的案例,让学生了解学科的广泛应用。
3.实践操作和科学探究:学生进行实验和实践活动,培养学生的实践操作能力和科学探究能力。
4.数据分析与处理:教授学生如何运用数据分析方法解决实际问题,提高学生的数据分析能力。
三、教学方法为了实现课程目标,本课程将采用多种教学方法,包括:1.讲授法:通过教师的讲解,使学生掌握XX学科的基本概念和原理。
2.讨论法:学生进行课堂讨论,培养学生的思考和表达能力。
3.案例分析法:通过分析实际案例,使学生了解XX学科的应用领域。
4.实验法:学生进行实验操作,培养学生的实践能力和科学探究能力。
四、教学资源为了支持教学内容和教学方法的实施,本课程将准备以下教学资源:1.教材:选择适合学生水平的教材,为学生提供系统性的学习资料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作多媒体课件和教学视频,提高学生的学习兴趣和效果。
4.实验设备:准备必要的实验设备和材料,为学生提供实践操作的机会。
五、教学评估本课程的评估方式将包括平时表现、作业、考试等多个方面,以全面、客观地评价学生的学习成果。
具体评估方式如下:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等,评估学生的学习态度和理解程度。
EDA课程设计报告
目录目录1.1EDA简介 (2)1.1.1EDA的发展史 (2)1.1.2EDA的应用 (3)1.1.3EDA技术的设计方法 (3)1.2数字系统设计 (4)1.2.1数字系统设计模型 (4)1.2.2 数字系统的设计步骤 (5)2.1任意波形产生器的系统构成 (7)2.1.1直接模拟法 (7)2.1.2直接数字法 (7)2.1.3基于地址计数器的直接数字和成法 (8)2.1.4基于相位累加器的直接数字合成法 (8)2.2任意波形产生器的作用 (10)2.3任意波形产生器的应用场合 (10)3.1设计要求 (12)3.2设计思路 (12)3.3设计流程 (13)3.4设计步骤及程序 (13)3.5硬件实现及调试结果 (21)3.5.1硬件实现 (21)电路模块组成部分: (21)3.5.2调试结果 (22)参考文献 (30)第一章绪论1.1EDA简介1.1.1EDA的发展史EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
自20世纪80年代初期诞生至今,自动化软件(组态软件)已有20年的发展历史。
应该说组态软件作为一种应用软件,是随着PC机的兴起而不断发展的。
80年代的组态软件,像Onspec、Paragon 500、早期的FIX等都运行在DOS环境下,图形界面的功能不是很强,软件中包含着大量的控制算法,这是因为DOS 具有很好的实时性。
90年代,随着微软的Windows 3.0风靡全球,以Wonderware 公司的Intouch为代表的人机界面软件开创了Windows下运行工控软件的先河,由于Windows 3.0不具备实时性,所以当时,80年代已成名的自动化软件公司在对于操作系统的支持上,或按兵不动,或将组态软件从DOS向OS/2移植,人们这样做的原因,是大家都认为工控软件必须具有很强的实时性和控制能力,必须运行在一个具备实时性的操作系统下,像DOS、OS/2、Win NT(1993年才推出)等。
eda基础课程设计
eda基础课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念和原理;2. 掌握EDA软件的基本操作和使用方法;3. 学习并掌握常见电子元件的符号及其在电路图中的表示;4. 学会分析简单的电子电路,并运用EDA软件进行电路仿真。
技能目标:1. 能够独立使用EDA软件绘制电路图;2. 能够运用EDA软件进行电路仿真,分析电路性能;3. 能够根据实际需求设计简单的电子电路;4. 培养学生的团队协作能力和问题解决能力。
情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发学生的学习热情;2. 增强学生的创新意识,培养勇于尝试、不断探索的精神;3. 培养学生的环保意识,了解电子设计在环保方面的应用;4. 培养学生严谨、细致的工作态度,提高学生的责任感。
本课程针对初中年级学生,结合学科特点和学生实际,注重理论与实践相结合,旨在培养学生的动手操作能力和实际应用能力。
通过本课程的学习,学生将掌握EDA基础知识和技能,为后续深入学习电子技术打下坚实基础。
同时,课程注重情感态度价值观的培养,使学生形成积极向上的学习态度,提高综合素质。
二、教学内容1. EDA概述- 了解EDA的发展历程、应用领域及发展趋势;- 熟悉EDA软件的种类及功能。
2. EDA软件操作基础- 学习EDA软件的安装与界面认识;- 掌握基本操作,如新建、打开、保存项目;- 学习绘制原理图的基本方法。
3. 电子元件及符号- 认识常见的电子元件,如电阻、电容、二极管、晶体管等;- 学习并掌握电子元件在电路图中的符号表示。
4. 电路图绘制与仿真- 学习电路图绘制的基本规则;- 掌握简单电子电路的绘制方法;- 运用EDA软件进行电路仿真,分析电路性能。
5. 实践案例- 分析并设计简单的放大电路、滤波电路等;- 学习电路调试方法,解决常见问题。
教学内容根据课程目标,结合教材章节进行安排。
在教学过程中,注重理论与实践相结合,让学生在学习过程中逐步掌握EDA基础知识和技能。
eda课程设计完整
eda课程设计完整一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生进行电子设计的能力和创新意识。
知识目标:使学生了解eda的基本概念、原理和流程,掌握常用的电子设计工具和软件,了解电子设计的基本方法和步骤。
技能目标:培养学生进行电子设计的能力,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证,培养学生解决实际问题的能力。
情感态度价值观目标:培养学生对电子设计的兴趣和热情,使学生认识到电子设计在现代科技中的重要地位和作用,培养学生的创新意识和团队协作精神。
二、教学内容本课程的教学内容主要包括eda的基本概念、原理和应用方法。
1.eda的基本概念和原理:介绍eda的定义、发展和分类,讲解电子设计的基本流程和方法,使学生了解eda工具的作用和重要性。
2.eda的应用方法:讲解常用的eda工具和软件的使用方法,介绍电子设计的基本方法和步骤,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证。
3.实例分析:通过具体的实例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。
三、教学方法本课程的教学方法包括讲授法、案例分析法和实验法。
1.讲授法:通过教师的讲解,使学生了解和掌握eda的基本概念、原理和应用方法。
2.案例分析法:通过具体的案例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。
3.实验法:通过实验室的实践操作,使学生熟练地掌握eda工具的使用方法,培养学生的动手能力和创新意识。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验室设备。
1.教材:选用权威、实用的教材,为学生提供全面、系统的学习资源。
2.参考书:提供相关的参考书籍,丰富学生的学习资料,拓展学生的知识面。
3.多媒体资料:制作精美的多媒体课件,生动形象地展示教学内容,提高学生的学习兴趣和效果。
4.实验室设备:提供完善的实验室设备,让学生能够进行实际的操作练习,提高学生的动手能力和创新意识。
EDA课程设计报告郝文磊
EDA课程设计报告题目:4位加法器的设计系别信息工程系专业电气工程及其自动化班级电气092姓名郝文磊学号 3指导教师陶雪华本课程设计的目的,旨在通过上机实验,使学生加深理解EDA技术的基本方法,帮助和培养学生建立利用原理图进行电路设计的基本方法和利用EDA工具软件(Quartus7.2)设计简单数字电子系统的能力。
通过该课程设计,使学生掌握原理图输入法及层次化设计的操作步骤,掌握设计电路原理图的编辑、编译、仿真等操作方法,为以后从事有关数字电子系统方面的设计和研究开发工作打下基础。
二、设计要求1、通过课程设计使学生能熟练掌握一种EDA软件(Quartus7.2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。
2、通过课程设计使学生能利用EDA软件(Quartus7.2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或VHDL硬件描述语言输入法。
3、通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。
4、通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。
5、考查形式为:结合课程设计中的能力表现和设计报告,综合评分。
6、通过课程设计使学生能熟练掌握一种EDA软件(Quartus7.2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。
7、通过课程设计使学生能利用EDA软件(Quartus7.2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或VHDL硬件描述语言输入法。
8、通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。
9、通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。
以Altera公司的Quartus7.2为工具软件,采用原理图输入法设计一个4位的加法器,该四位加法器由以下三个步骤完成:(1)采用原理图输入法设计半加器h_adder,生成元件符号,并仿真验证设计结果;(2)采用层次化原理图输入法设计1位全加器f_adder,生成元件符号,并仿真验证设计结果;(3)在1位全加器的基础上,设计4位加法器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
流水线寄存器:
PC IFDC DCEX EXWB WBXX
全局模块:
PC(#32)
Instruction(#16) ALU_OP(#4), DW(#1),WB(#1), WBData_Sel(#1), Reg0(#32),Reg1(#32),Imm(#5),RegAddr0(#5),RegAddr1(#5)
WB(#1),WBData(#32),WBAddr(#5)
WB(#1),WBData(#32),WBAddr(#5)
加法器:
选择器: 译码器: Register File:
ALU: ByPass Controller:
3.3 流水 CPU 指令集
四、仿真结果
五、心得体会
这次课设最后的结果令自己感觉挺意外的,因为在刚开始课设前是觉得自 己最后可能都做不出来,但最后自己提前完成了课设,感觉挺有成就感的,收 获也很大。
• 3.2.2 控制电路 – 从数据通路接收指令码,进行译码产生控制信号; • 多路选择器的选择 • 寄存器堆栈写信号 • 流水线寄存器写信号 • 流水线寄存器清除信号 • 存储器写信号 • ALU 操作信号 • 运算指令(加减、逻辑运算)
运算指令(bypass)
ACLK
ADD r1, r0
IA
ST r2, r1
reg [3:0] reg reg reg
DC_ALU_OP; DC_WB, DC_DW, DC_LD; IFDC_W; IFDC_Flush;
//ALU operation code from decoder //writeback,store,load sigal from decoder //write signal for IFDC register //clear signal for IFDC register
三、体系机构设计
3.1 5 段流水线设计
3.2 设计步骤
• 3.2.1 数据通路的设计 – 数据流向 • 存储器 Instruction Memory, Data Memory, • 寄存器堆栈 Register File, • 流水线寄存器 Pipeline Register, • 运算器 ALU, • 多路选择器 multiplexers.
3.1 5 段流水线设计 .........................................................................5 3.2 设计步骤 .......................................................................................5 3.3 流水 CPU 指令集.......................................................................11 四、仿真结果........................................................................................... 12 五、心得体会........................................................................................... 14 参考文献...................................................................................................15 附录(代码)........................................................................................... 16
最后就完成了课程设计,整个过程中自己对硬件的编程有了进一步的认 识,也培养了自己对硬件开发的兴趣,最关键的是,自己调通了代码,也让我 增加了信心。在最后再次感谢张俊老师对我们的鼓励和耐心指导。
参考文献
[1] 潘松 黄继业 陈龙,《EDA 技术与 Verilog HDL》,清华大学出版社,2010 年 1 月
最 后 通 过 使 用 multisim10.1d 仿 真 各 个 组 件 通 过 , 并 使 用 quartus ii 完成连线并仿真。得到想要的结果
关键词:流水 CPU;EDA;RTL;精简指令集
一、课程设计目的
掌握硬件描述语言 Verilog HDL 的语法及设计技巧,了解 Quartus II 软件的 应用,学习 Quartus II 环境下设计 CPU 的基本过程;掌握 CPU 设计代码的含 义以及 CPU 的工作原理;了解 CPU 与内存 RAM 间的连接数据的传输过程;
中南大学
题 目: 课题名称: 指导老师: 学 号: 姓 名:
流水线 CPU 设计 EDA 课程设计报告
张俊 0903130323
胡慧
目录
摘要 ............................................................................................................. 3 一、课程设计目的 ....................................................................................4 二、课程设计要求 ....................................................................................4 三、体系机构设计 ....................................................................................5
ADD r1, r0
IA
xxx
ST r2, r1
ADD r1, r0
IA
xxx
xxx
ST r2, r1
IF
DC
EXΒιβλιοθήκη WBEX-EX ByPass
IA
IF
DC
EX
WB
IF
DC
EX
WB
WB-EX ByPass
IA
IF
DC
EX
WB
IF
DC
EX
WB
IA
IF
DC
EX
WB
体系结构设计总流程图:
3.2.3 组件设计 全局模块:
接下来便主要是编写程序了,在这个过程中,张老师提供了很多帮助,耐 心的解答我们的问题,帮我们看程序的问题,同时自己和同学在写代码的过程 中也会进行讨论,因此整个代码的完成过程还算是比较轻松的。
完成代码后,就是仿真调试的过程了,这个过程就整个课设来说应该是最令 人头疼的部分了,自己开始就感觉无从下手,在张老师帮忙调试了一个错误 后,自己便对调试找错误有了一些头绪,其实我从开始调试到最后调通的这个 过程是很神奇的,开始一直进行到 18 就出错了,自己按照老师的方法分析了下 后,并不是太清楚到底错误出在哪里,因为觉得那块的逻辑是正确的,各种细 节在自己的再三检查和确认后也没发现错误。就这样自己弄了一上午,也没能 解决问题,而之前和自己一起讨论一起写代码的同学运行的情况比我的好很 多,于是我就想着对比下自己和她的代码,来发现错误。对比代码后发现我们 整体的逻辑框架都是一样的,不同的就在于立即数的处理那块,她是先赋值给 一个变量,这个变量在复位时会清零,我是直接使用变量,我仔细想了下,那 块应该确实是有影响的,不然复位这个操作就对该变量没有影响,我在做了修 改后,终于仿真过了 18,接着又停在了 38。而接着对比代码,发现区别就在于 IFDC_Flush 下的处理不同,她是只将变量清零了,而我还将控制信号也清零 了,在做了修改后,竟然一下子就成功了,显示 Simulation completely successful。看到这个结果自己欣喜万分,但同时也觉得挺震惊的,因为在自 己的意识里,是觉得复位,清空这些操作影响不大的,当不确定时就把所有的 信号变量都做处理,但自己这次的主要问题就是出在了复位和清空上。
摘要
本次课程设计基于 EDA,通过 quartus II 软件,学习了解流水 CPU 的内部 结构及工作原理,通过自顶向下的设计方法设计了 5 级流水 CPU 并进行仿真。
本设计将 CPU 分为取址令阶段(IA、IF)、译址令阶段(DC)、指令执行阶 段(EX)和数据回写阶段(WB)。根据精简指令集对流水 CPU 进行体系结构设 计并进行 RTL 编码。 由于使用到了五级流水,我打算将整个部分分成五个模块,用于处理各个时期系 统将做的工作,其中每个模块块代表一个时间周期的寄存器及其逻辑器件,模块 之间使用相对应的控制相连接。
reg [31:0] wire [31:0]
RegFile[31:0]; Reg0, Reg1;
//PC register //new data for PC //select signal for PC
//pipeline register for IFDC //branch condition from decoder //register file //operand 0 and 1
课设开始时,老师先讲了 CPU 的基本流程,自己听着有些晕乎,和同学 讨论以及向老师请教后,觉得对整体的框架有了一定的认识,在这个时候就觉 得其实这整个过程的完成和之前课上学到过的状态机其实差不多,只不过是细 节更多。在有了这样的认识后,自己便决定开始动手写第一部分的代码。第一 部分逻辑简单,但因为距离 EDA 课程结束时间较长,因此一些基本的语法细节 都忘的差不多了,这也告诉我们自己平时要多练习。
附录(代码):
module RISC16(CLK, Rstn, InstAddr, Inst, DW, DAddr, WData, RData);